0rlaxodud의 등록된 링크

 0rlaxodud로 등록된 네이버 블로그 포스트 수는 16건입니다.

[반도체공학] 반도체 8대 공정 | 4. 식각 공정 (Etching) [내부링크]

안녕하세요! 오늘은 반도체 공정의 숨은 예술, 식각 공정에 대해 알아보려고 합니다! 반도체 제조 과정에서의 식각 공정은 반도체 칩의 정밀한 회로 패턴을 형성하는 핵심 단계입니다. 이 과정에서는 웨이퍼에 적용된 감광액(PR, Photo Resist)을 이용하여 불필요한 부분을 선택적으로 제거하고, 원하는 회로 패턴을 만드는 작업이 이루어집니다. 식각 공정은 크게 습식과 건식 두 가지 방식으로 나뉘며, 각각의 방식은 반도체 기술의 발전과 함께 진화해 왔습니다. 식각 방식의 발전 식각 공정의 발전은 2D(평면 구조)에서 3D(입체 구조) 반도체로의 전환과 함께, 미세화가 진행됨에 따라 건식 식각 방식으로 넘어가는 중요한 변화를 겪었습니다. 초기에는 화학적 습식 방식이 주로 사용되었으나, 미세화가 진행되면서 더 정밀한 패턴을 구현하기 위해 플라즈마를 이용한 건식 방식이 도입되었습니다. 건식은 습식에 비해 비용이 비싸고 방법이 까다로운 단점이 있으나, 최근에는 나노 단위로 고집적화되는 반도

나 혼자 경주 1박 2일 [내부링크]

이번 겨울에는 계절학기 듣고, 종강하고 부랴부랴 다음 학기 준비하면서 힘든 일도 생겨서 냅다 경주로 떠나버렸다. 강원도랑 고민했는데 경주를 한 번도 안 가보기도 했고, 볼거리도 많다고 해서 경주로 결정~ 1일차 서울-경주 프리미엄 고속버스 Previous image Next image 서울고속버스터미널(경부 영동선) 서울특별시 서초구 신반포로 194 이 블로그의 체크인 이 장소의 다른 글 처음에는 당연히 KTX로 가려고 했는데, 요즘 고속버스가 참 잘돼있다고 해서 예약하고 타봤다. 의자가 전동식으로 뒤로 젖혀져서... 거의 누워서 편하게 갔다. 선택하길 잘한 듯! 하지만 3시간 30분 걸린다고 했는데, 휴게소도 들리고 하면 4시간 정도 걸렸다. 그리고... 시간이 중요한 사람들은 꼭 KTX 타야 되는 게, 돌아올 때 14:20 출발해서 19:00에 도착했다 ㅋㅋㅋㅋㅋ... 도착할 때쯤 서울 출퇴근시간이랑 겹치는지 꼭 확인하고 예약하시길... 난 뭐 시간은 상관없어서 괜찮았다. 대릉원

EU의 AI 규제 법안 | AI Act, 법안 내용, ChatGPT에 미치는 영향 등 [내부링크]

유럽연합(EU | European Union) ,정확히는 유럽연합 집행위원회(EC | European Commission)는 2021년 4월 21일 유럽의회(European Parliament)에「 인공지능에 관한 통일 규범(인공지능 법)의 제정 및 일부 연합 제정법들의 개정을 위한 법안(Proposal for a Regulation laying down harmonized rules on articial intelligence (Articial Intelligence Act) and amending certain Union Legislative Acts)」(이하 ‘AI 법안’)을 발의하였습니다. 그리고 2024년 2월 2일(현지시간) EU 회원국들이 AI 법안에 만장일치로 찬성했습니다. 이제 EU의 3개 입법 기관 중 하나인 유럽 의회의 최종 승인이 필요하고, 이 시기는 4월 정도로 예상 중입니다. 정책 전문가들이 예상하는 대로 본문이 변경되지 않으면 이 법률은 2026년에 발효됩

[서평] 도시와 그 불확실한 벽 | 무라카미 하루키 [내부링크]

무라카미 하루키의 작품을 처음 접한 것은 2019년 군대에 있을 무렵 "기사단장 죽이기"였다. 당시 장편소설을 읽는다는 게 부담됐던 나는 이 공포를 언젠가 깨 부셔야 함을 알았기에, 교보문고 베스트셀러 코너에서 벽돌 같은 책을 골랐던 기억이 있다. 당시에는 무라카미 하루키도 몰랐지만 기사단장 죽이기의 부제 (현현하는 이데아, 전이하는 메타포)는 내 손을 이끌게 하는 힘이 있었다. 그때 당시에도 느꼈지만, 무라카미 하루키의 이야기는 '모호함'이 많다. 이는 해석의 여지가 가지각색이라는 것이다. 독후감은 많이 써봤지만, 항상 숙제로만 써봐서 진심으로 내가 이 책에 대해서 어떤 감정을 느꼈는지 적어본 기억이 거의 없다시피 하다. 3일 만에 읽어버린 이 책에 대해서, 누구에게 검사받을 일도 없으니 그냥 내 생각을 적어본다. 네가 나에게 그 도시를 알려주었다. 하루키의 소설은 1인칭 시점이다. 처음엔 인식하지 못했는데, 읽다 보니까 주인공 즉 '화자'의 이름이 나오지 않는다. 책의 처음부터

PowerShell로 피시방처럼 재부팅하면 자동으로 컴퓨터 초기화하기 [내부링크]

Previous image Next image 개강하고 이러쿵저러쿵 잘 살고 있습니다... 첫 주부터 과제주는 교수님 진짜 너무 사랑하구요. 암튼 사랑합니다. 이번 학기에 교양대학 물리실험준비실에서 국가근로를 하게 되었다. 안전교육중 ^^ 우리 학교는 이공계열 신입생은 일반물리학, 일반물리학실험이 필수과목이라서 학기 초인 지금 사무실은 꽤나 바쁘다. 이 와중에 근로 담당관님이 나에게 부탁을 하나 하셨다. 물리실험 중에 블루투스 센서로 노트북에 데이터를 전송해 분석하는 실험이 생겼는데, 아무래도 열리는 실험 강의 수만 해도 일주일에 90강좌가 넘고... 그럼 조를 나눈다고 해도 1강좌에 9개조이고... 실험 자체가 보고서가 굉장히 중요하고, 데이터가 중요하기 때문에 앞에 강좌를 수강한 조의 데이터가 남아있으면 형평성 측면에서도, 학습 측면에서도 좋지 않다고 판단하셨는지 재부팅될 때마다 모든 데이터를 초기화하고 싶다고 하셨다. PASCOKOREA 파스코 한국 총판 와이케이사이언스입니다.

서울과학기술대학교 전자IT미디어공학과 시험, 면접 후기 - 2022 편입학 [내부링크]

면접은 1월 27일에 봤다. 요즘 뇌를 빼고 사느라 점점 기억력이 안 좋아짐을 느껴서,,, 얼른 글로 남겨놔야겠다고 느꼈다. 대부분의 상위권 대학들은 코로나 이전에 면접전형이 있었는데, 작년에 자소서로 대체되더니 올해도 비슷한 분위기로 흘러갔다. 내가 아는 범위의 서울권 대학 중에서 면접 실시한 대학은 성균관대학교, 서울과학기술대학교 두 군데뿐! 건국대 면접이 그렇게 빡세다던데, 자소서로 대체돼서 다행이었다(다행 맞나?) 서울과기대는 수학 100% 시험 전형이다. 20문항 100분... 편입은 수학올인러들의 비율이 꽤 있다. 수학 100 전형 대학은 [중앙대, 과기대, 세종대, 이화여대... 어 기억이 안 난다! 아 맞다 가천대랑 올해부터는 국민대도 포함] 시간은 넉넉하게 줬다. 다른 대학들은 타임 어택으로 빠르게 풀어야 하는 반면에 개인적으로 과기대 문제는 한문제 한문제 깊이 고민해서 출제한 느낌이다. 풀 때도 물론 여러 개념이 섞여있다 보니 시간이 좀 걸린다. (살짝 수능 느낌이

아직도 내가 누군지 잘 모르겠지만, [내부링크]

종강이다. 오지 않을 줄 알았는데... 진짜 왔다. 곧 계절학기(3과목) 들으러 가면 또 정신 없어지겠지만, 잠깐이나마 여유 있는 틈을 타서 이번 한 해를 돌이켜보자. 22년 가을부터 23년 여름까지 독립을 준비했던 1년가량은 내 인생에 정말 큰 도전이었다. 계속해서 늦춰지는 졸업과, 그걸 알면서도 어쩔 수 없이 계획을 실행시켜야만 하는 주위 상황 때문에 참 마음고생 많이 했던 것 같다. 처음으로 내 몸이 2개였으면 좋겠다고 생각할 정도로 "나"를 포기했던 것 같다. 그 덕분인지 지친 내가 기댈 만한 사람도 만났고, 서로 의지하며 살아가고 있다. 8월에 수원을 떠나 학교 근처 자취방을 구했다. 거의 10년을 반지하에서 살았는데, 해가 잘 드는 3층 빌라로 이사 오고 난 둘째 날 아침 밀려오는 햇살에 정말 울컥했던 것 같다. 9월에 개강하고 과기대 러닝 크루 STRC에 들어갔다. 마블런 학교 정기런 10Km 완주하고 크루원 풀코스 완주하는 곳으로 와서 응원 중 JTBC 마라톤인데 폭우

[ASML] 반도체 제조의 6가지 핵심 단계 [내부링크]

ASML은 반도체 산업에서 혁신의 선두주자로, 칩 제조업체들이 실리콘 위에 패턴을 대량 생산할 수 있도록 하드웨어, 소프트웨어, 서비스를 제공합니다. 반도체 제조 공정은 여러 단계로 이루어져 있으며, 각 단계는 고도의 정밀도와 기술을 요구합니다. 이 공정에는 다음과 같은 핵심 단계들이 포함됩니다. 1. 증착 (Deposition) 공정은 실리콘 웨이퍼에서 시작됩니다. 웨이퍼는 순도 99.99%의 실리콘('잉곳'이라고 함)으로 이루어진 살라미 모양의 막대에서 슬라이스한 후 극도로 매끄럽게 연마합니다. 제작되는 구조의 유형에 따라 전도성, 절연성 또는 반도체 재료의 박막을 웨이퍼에 증착하여 첫 번째 레이어를 인쇄할 수 있도록 합니다. 이 중요한 단계를 일반적으로 '증착'이라고 합니다. 마이크로칩 구조가 '축소'될수록 웨이퍼를 패터닝하는 과정은 더욱 복잡해집니다. 증착과 식각 및 리소그래피의 발전(이에 대해서는 나중에 자세히 설명)은 수축과 무어의 법칙 추구를 가능하게 하는 원동력입니다.

[반도체공학] 반도체 8대 공정 | 1. 웨이퍼란 무엇인가 [내부링크]

반도체 기술은 현대 사회의 기반이 되었습니다. 스마트폰에서부터 자동차, 의료 기기에 이르기까지 우리 일상 곳곳에 스며들어 있는 이 기술은 끊임없이 발전하고 있습니다. 그 중심에는 바로 '웨이퍼'가 있습니다. 웨이퍼는 반도체 칩을 만드는 데 사용되는 얇은 실리콘 판으로, 이 실리콘 웨이퍼 위에 수억 개의 미세한 회로가 새겨집니다. 하지만 이러한 웨이퍼가 어떻게 만들어지는지, 그리고 이 과정이 왜 중요한지에 대해서는 잘 알려져 있지 않습니다. 이번 포스팅에서는 웨이퍼 제조 과정의 시작부터 끝까지, 그 복잡하면서도 미세한 세계로 여러분을 안내하고자 합니다. 모래알 하나에서 시작하여 고도의 기술력을 거쳐 반도체의 심장이 되기까지, 웨이퍼는 어떻게 만들어지는 걸까요? 웨이퍼(Wafer)란? 웨이퍼 제조 과정을 설명하기에 앞서 웨이퍼란 무엇인지 얘기해 보겠습니다. 어원은 위의 모습 (얇은 원형의 판)이 구워진 빵이나 쿠키를 뜻하는 단어인 웨이퍼와 모양이 비슷해 불리게 된 명칭이라고 합니다. 웨

SEMICON KOREA 2024 대학생 후기, Meet the experts, 세미콘 코리아 2024 [내부링크]

코엑스 서울특별시 강남구 영동대로 513 이 블로그의 체크인 이 장소의 다른 글 코엑스에서 진행하는 세미콘 코리아 2024에 대학 후배들(밖에 없었음)과 다녀왔습니다. 세미콘 코리아란? 세미콘 코리아(SEMICON Korea)는 반도체 산업의 최신 기술, 재료, 장비 및 시장 동향을 공유하고, 전 세계 반도체 산업 관계자들이 네트워킹을 할 수 있는 국제적인 전시회 및 컨퍼런스입니다. 이 행사는 매년 한국에서 개최되며, 세계 각국의 반도체 제조사, 장비 제조사, 소재 공급업체 등 다양한 기업과 전문가들이 참가합니다. 세미콘 코리아에서는 최신 반도체 제조 기술, 첨단 소재, 공정 장비, 반도체 설계 및 제조에 관한 다양한 세션과 워크숍이 진행됩니다. 이를 통해 참가자들은 산업의 최신 트렌드를 파악하고, 기술 교류를 통해 비즈니스 기회를 모색할 수 있습니다. 또한, 기술 전시회에서는 반도체 제조에 필요한 다양한 신기술과 제품들이 전시되어, 참가자들이 최신 기술 동향을 직접 확인할 수 있는

메모리 혁명의 선두주자, HBM이란 무엇인가? [내부링크]

HBM(High Bandwidth Memory)은 고대역폭 메모리 기술로, 특히 그래픽 처리와 고성능 컴퓨팅(HPC) 환경에서 데이터 처리 속도를 크게 향상시키는 데 중점을 둡니다. 이 기술은 기존의 DDR 메모리 솔루션과 비교했을 때 상당한 성능 향상을 제공합니다. HBM은 3D 스택 메모리 구조를 사용하여 칩 내부에서 데이터를 전송하는 데 필요한 거리를 대폭 줄입니다. 이 구조는 여러 개의 DRAM 칩을 수직으로 쌓고, 이들 사이에 초고속 인터커넥트를 사용하여 각 층을 연결합니다. 이렇게 함으로써, HBM은 기존 메모리 솔루션보다 훨씬 더 높은 대역폭과 낮은 전력 소비를 실현할 수 있습니다. HBM은 JEDEC(Joint Electron Device Engineering Council)에 의해 표준화되었으며, 현재 몇 가지 버전이 있습니다. 예를 들어, HBM1, HBM2, HBM2E 등이 있으며, 각각은 전송 속도, 용량, 전력 효율성 등에서 차이가 있습니다. HBM2는 HBM

[반도체공학] 반도체 8대 공정 | 2. 산화 공정 (Oxidation) [내부링크]

학교에서 하도 소논문 형식의 리포트만 쓰다 보니 블로그에서 쓰는 문체가 오락가락하네요... 개인적으로 검수는 하고 있지만 불편해도 봐주세요 ㅎㅎ...ㅎ... [반도체공학] 반도체 8대 공정 1. 웨이퍼란 무엇인가 반도체 기술은 현대 사회의 기반이 되었습니다. 스마트폰에서부터 자동차, 의료 기기에 이르기까지 우리 일... blog.naver.com 오늘은 반도체 8대 공정의 두 번째로, 산화 공정(Oxidation)에 대해 알아보겠습니다. 산화 공정이 왜 필요할까? 저번 시간에 웨이퍼를 만드는 과정에 대해 알아봤습니다. 이제 이 웨이퍼를 재료로 집적회로(IC)를 만들어야 하는데요. 반도체 제조 공정의 진행 방향 그림에서 보이듯이 반도체 제조 공정은 웨이퍼에서 시작해 아래부터 위로 무엇인가 쌓아 올리는 방식으로 진행됩니다. 이 과정은 단순히 책을 쌓는 것처럼 만만한 과정이 아닙니다. 회사가 원하는 기능을 구현하는 반도체를 제조하기 위해서는 다양한 모양들을 반도체 내부에 균일하게 만들어야

[반도체공학] 반도체 8대 공정 | 3. 포토 공정 (Photolithography) [내부링크]

[반도체공학] 반도체 8대 공정 | 1. 웨이퍼란 무엇인가 반도체 기술은 현대 사회의 기반이 되었습니다. 스마트폰에서부터 자동차, 의료 기기에 이르기까지 우리 일... blog.naver.com [반도체공학] 반도체 8대 공정 | 2. 산화 공정 (Oxidation) 학교에서 하도 소논문 형식의 리포트만 쓰다 보니 블로그에서 쓰는 문체가 오락가락하네요... 개인적으로 ... blog.naver.com 저번에 웨이퍼에 산화막을 형성하는 산화 공정에 대해 알아봤습니다. 이번에는 그 다음 공정인 포토 공정에 대해 알아보겠습니다. 포토 공정은 영어 Photolithography를 줄여 부르는 말입니다. pho‧to‧li‧thog‧raphy /"fouÔoulI'Ta:gr@fi ǁ "foutou'lITOg£/ [명] 《U》 〘전문〙 1 사진석판술, 사진평판술 ((사진인쇄기술을 이용해 반도체 표면에 집적회로, 회로판, 프린트 배선 등을 만들어 넣는 기법)) 2 사진제판 ((사진 기술을 응용하여

1학년 [내부링크]

나는 2021년 3월부터 시작해 알바병행(9월까지)으로 자연계 일반 편입을 준비했다. 1월 9일 인하대 시험을 끝으로 내 인생 가장 어두웠던, 뜨거웠던, 치열했던 지난 1년을 기록으로 남겨두고자, 또한 이 길을 따라오시는 후배님들에게 도움이 되면 좋겠다는 생각에 후기글을 쓰고 싶었다. 글을 여러 번 쓰더라도 시간 나는 대로 최대한 자세하게 써야지. 내가 글을 쓰는 재능이 없어서 주저리주저리 tmi에 갑자기 산으로 갈 수도 있습니다,,, 지원한 대학은 [항공대, 경희대, 경기대, 단국대(죽전), 가천대, 건국대(서울), 명지대, 서울과학기술대, 숭실대, 세종대, 아주대, 인하대, 광운대] 이렇게 13개 대학. 지금은 아직.. 결과를 기다리고 있습니다. 시작할 때 마음가짐은 [서강대, 성균관대, 한양대, 중앙대] 를 목표로 했지만, 주 3~4일 새벽 알바 병행과 영어 수학 베이스 부족 (수능 준비 아예 안 함) 지원하고자 하는 학과인 전자공학과가 공대 메이저 학과 [전자, 화학, 기계

2학년 [내부링크]

전역을 하면 대부분의 남자들은 가슴이 불타오른다. 거의 2년을 버텼는데 뭔들 못할까 싶은 생각이 가득했다. 그래서 11월 전역에 맞춰 시작한 비발디파크 알바... 진짜 말도 안 되게 힘들었는데 그래도 사람이 좋아서 버텼다. 자꾸 어린애들이 외국인 노동자냐고 물어봤다 날씨 좋은 날에는 너무 기분 좋게 일했다 숙소도 나름 좋았고 (군대보다는) 밥도 맛있었다 귀여운 동생 와 진짜 엄청 탔다... 눈이 오면 손님들은 좋아했지만,,, 나는 슬펐ㄷ..ㅏ 기숙을 하며 주 5일을 휴식 포함 9시간 풀로 일했다. 연장도 많고 당시 최저보다 더 받아서 주휴까지 월 300은 땡겼던 것 같다. 덕분에 2학년 학비도 내고 라식도 하고 친구도 생기고 재미있었다. 내 집에 홍천 근처였다면 시즌마다 갔을 것 같은데 2월 말부터 터진 코로나가 아직도... 여기는 꽤 타격이 큰 것 같다. 외국인 관광객이 절반 정도 있었는데 그게 아예 끊겨버려서 매출이 안 나와서 경력직만 뽑는다는 것 같다. 연락이 왔던 것 같은데

2022 편입 준비 일기 [21. 03월 ~ 22. 01월] [내부링크]

집에서 공부 가능? 어 불가능 3월 식비랑 스터디 카페 가는 돈을 좀 아껴보려고 수업은 학원에서 듣고 자습은 집에서 하려고 했다. 하... 근데 진짜 그냥 안된다. 시도조차 해서는 안 됐다. 내가 티비보는 가족에게 조용히 하라고 소리칠 성격도 아니고 상황도 아니었다. 진짜 죄인이 된 느낌이었다. 통화 소리가 시끄러우면 이어폰을 꼈다. 이때 난생처음 클래식이란 걸 하루에 8시간 정도 들은 것 같다. 매일 팝송만 듣다가 클래식 들으면 처음에는 이질감이 느껴지는데 점차 마음이 평온해졌다. 사람들이 왜 듣는지 알겠다. 비발디 쇼팽 바흐 베토벤 슈베르트 모차르트 차이콥스키 드보르작,,, 진짜 다들 개천재 쌉인정합니다. 특히 비발디 사계는 봄부터 겨울까지 듣고 있으면 여름쯤에 격정적으로 변하는데 진짜 심장이 요동치는,,, 느낌,, 나중에는 꼭 클래식 콘서트도 가봐야겠다. 버킷리스트 메모,,, 편입 준비하는 사람들 대부분에게 첫 번째 고비 어휘 암기 9000개가량의 고급 어휘들이 총출동하는 논리