[Verilog] "ERROR:Xst:528 - Multi-source in Unit" 해결


[Verilog]

Verilog에서 발생하는 "ERROR:Xst:528 - Multi-source in Unit" 에러의 원인과 해결 방법을 상세하게 설명합니다. 문제상황 아래와 같이 공통된 출력 포트를 사용하는 두 개의 always 블록이 있는 Verilog 코드를 컴파일했습니다. module multi_source_error ( input wire clk, input wire rst, input wire [3:0] a, input wire [3:0] b, output reg [7:0] y ); always @(posedge clk or posedge rst) begin if (rst) begin y


원문링크 : [Verilog] "ERROR:Xst:528 - Multi-source in Unit" 해결