[Verilog] VSCode, modelsim으로 Verilog 코딩하기


[Verilog] VSCode, modelsim으로 Verilog 코딩하기

베릴로그를 코딩하고, 컴파일할 수 있는 툴은 modelsim, vivado 등이 있다. 하지만 이러한 툴에서 기본적으로 제공하는 텍스트 에디터는 사용하기 매우 불편하다. modelsim이 제공하는 텍스트 에디터 특히 대학교 등 교육 환경에서 베릴로그 코드를 컴파일하고, 시뮬레이션할 수 있는 비교적 가벼운 툴로 modelsim이 많이 사용된다. (Xilinx의 Vivado는 적게 잡아도 10GB단위의 용량이 필요하다.) 하지만 modelsim에서 제공하는 텍스트 에디터는 자동완성이나 변수 찾기 기능을 제공하지 않고, 기본적으로 컴파일을 하기 전에는 문법적 오류를 표시하지 않는다. 최근에는 매우 가벼우며, 다양한 언어를 위한 확장 프로그램을 필요에 맞게 설치하여 사용할 수 있어 Visual Studio Code(이하 VSCode) 텍스트 에디터가 각광받고 있다. VSCode에는 Verilog를 위한 extension이 존재하여, 이를 통해 Verilog 코딩의 생산성을 크게 향상시킬 수...


#HDL #모델심 #xvlog #xilinx #vscode #vlog #vivado #verilog #systemverilog #quartus #modelsim #linter #icarusverilog #베릴로그

원문링크 : [Verilog] VSCode, modelsim으로 Verilog 코딩하기