[Verilog] 2-to-4 decoder 설계하기


[Verilog] 2-to-4 decoder 설계하기

디코더는 n개의 입력을 받아서 2^n개의 출력을 내보내는 회로이다. 기본적인 이진 디코더는 입력으로 k를 받아, k번째 출력을 on으로 만들고, 나머지는 off으로 설정하는 기능을 수행한다. 2-to-4 decoder의 진리표를 예시로 들면 다음과 같다. 입력(A1 A0) 출력(D3 D2 D1 D0) 00 0001 01 0010 10 0100 11 1000 만약 입력으로 10이 입력된다면, 이는 2이고, 따라서 D2만 1(on)이 출력된다. 많은 디코더들은 입력으로 enable 단자를 가지고 있어, enable 단자가 on일 때에만 디코더가 작동하도록 설계되어 있다. 다음은 enable 단자를 가진 2-to-4 decoder의 verilog code이다. module _2to4decoder( input A0, input A1, input enable, output D0, output D1, output D2, output D3 ); assign D0 = (~A0) & (~A1) & ...


#2to4decoder #모델심 #xvlog #xilinx #vscode #vlog #vivado #verilog #systemverilog #quartus #modelsim #linter #icarusverilog #HDL #decoder #3to8decoder #베릴로그

원문링크 : [Verilog] 2-to-4 decoder 설계하기