[Verilog] 베릴로그 A to Z (2) - Verilog/SystemVerilog/UVM LRM 소개


[Verilog] 베릴로그 A to Z (2) - Verilog/SystemVerilog/UVM LRM 소개

베릴로그 A to Z (2) - Verilog/SystemVerilog/UVM LRM 소개 안녕하세요, 이번 포스팅을 통해 Verilog/SystemVerilog/UVM의 LRM를 소개하려고 합니다. *LRM(Language Reference Manual)이란? 컴퓨터 프로그래밍 언어의 Reference가 되는 Documentation입니다. 해당 프로그래밍 언어의 문법부터 사용 예시, 그리고 개발자가 주의해야 하는 점 등 언어에 관련된 모든 정보를 담고 있습니다. 보통 코딩을 하다가 막히면 구글이나 스택오버플로우(Stack Overflow)에 많이 검색하실 텐데요, Verilog는 반도체 회로설계 / 하드웨어 설계 등 비교적 마이너 한 분야에서 사용되기 때문에 만족할 만한 답변을 찾기 힘든 경우가 많습..


원문링크 : [Verilog] 베릴로그 A to Z (2) - Verilog/SystemVerilog/UVM LRM 소개