gc_na의 등록된 링크

 gc_na로 등록된 네이버 블로그 포스트 수는 376건입니다.

블로그 글 방향성? [내부링크]

(1) 영어로 제 웹사이트에서 글을 쓰면, 사실 저도 편하고 블로그 유입도 많아져서 좋은데요. 근데 VLSI Korea인데… 한국어로 쓰려고 시작한건데… 취지에 안 맞는 것 같고… VLSI Korea 죽을 때까지 반도체를 공부합니다. 그리고 배운 내용을 무료로 공유합니다. vlsi.kr (2) 블로그에서 꽤 많은 분야를 다룬듯한데, 여전히 끝이 없다. 그런데 앞으로 어떤걸 다루는게 좋을까요? A. 전통적으로 계속 중요한 핵심 개념 분석 B. 최근에 갑자기 뜨는 기술 동향 어찌되었든 제가 쓰는 글이 우리나라 사람들한테 도움 되고, 우리 회사에도 보탬이 되길 바라는 것들인데… 제가 헛수고 및 삽질만 하고 있는건 아닌가?싶네요.

Signal Integrity란? Power Integrity란? 원인은? [내부링크]

Credit: A fully parallel BIST-based method to test the crosstalk defects on the inter-switch links in NOC, Reza Nourmandi-Pour SI, Signal Integrity란? SI는 Signal Integrity의 약자로, "전기 신호가 송신부에서 수신부로 전달되는 과정에서 본래의 품질을 유지하는 것"을 의미합니다. 이상적인 신호 전송이란 논리값 '0'과 '1'이 송신부에서 의도한 대로 정확한 시점에 수신부에 도달하는 것인데요, 고속 인터페이스에서는 이러한 이상적 신호 전송이 쉽지 않습니다. 다양한 Noise가 껴서, 분명 논리회로설계에선 1을 보냈는데 0을 받고, 0을 보냈는데 1인 경우가 있습니다. 그 이유는 전송 과정에서 여러 가지 손실과 왜곡에 노출되기 때문입니다. frequency-dependent loss reflection crosstalk power noise 대표적으로 위 네

오랜만에 일기: 사람이 제일 중요햐 ️ [내부링크]

가족, 친구들 만나고 혼자 들어오는 집은 여러가지 생각이 든다. 이런 감정을 느끼는 것에 20년이 걸렸다. 처음 느끼기 시작한건 내가 본가에서 나왔을 때 어렸을 때는 매일 학교에서 만나니까 이런 기분을 잘 몰랐는데, 대학/군대/취업/결혼으로 전국, 전세계로 흩어지니… 그러면서 우정의 소중함을 알게 되더라. 나는 지금 살고 있는 지역이 내 본가에서 멀어서 친구들을 가끔 만나는데, 매일 각자가 보고 듣고 느끼는게 다르니 가치관도 달라지고… 자연스레 원래 안 친하다고 생각했는데, 성인되고 친해진 친구 원래 친하다고 생각했는데, 성인되고 멀어진 친구가 생겼다. 속마음 100% 터 놓고 같이 저녁 먹을 수 있는 친구들과 가까이 살고싶다. 지금은 그렇지 못해서, 혼자 있는 시간이 많아지고… 일과 블로그를 열심히했다. 암튼 오늘은 성수동 다녀왔다. 성수소문난감자탕 -> 레인리포트 -> 석촌호수 다녀왔다 조금 마시고 택시 타고 집에 가는 길인데, 이것저것 많은 생각이 들어서 횡설수설 하며 글을 써

Equalization란? Pre-Emphasis란?: High-Speed VLSI Design에서 Signal Integrity 복원 방법 [내부링크]

지난 글에서 Digital IC의 Signal Integrity에 대해 알아보았습니다. Bandwidth가 계속 증가하고, 채널 길이는 길어지고, 시스템 복잡성이 높아짐에 따라, 전송 과정에서 신호 품질을 유지하는 것이 점점 더 어려워지고 있습니다. Credit: Tektronix TX에서 RX로 가기까지 PCB 상에서 스트립라인, Parastrics, AC Coupling Cap 등을 지나면서, Low Pass Filter랑 똑같은 현상이 나서 고주파 성분은 죽고 결국 신호의 왜곡이 발생 하게 됩니다. 이런 감쇠량을 ISI, Inter-Symbol-Interference라고 나타냅니다. SI 영향을 줄이기 위해 쓰이는 대표적 방법인 Equalization과 Pre-emphasis에 대해 알아보겠습니다. Equalization란? EQ란? 전송 채널을 통과할 때 신호는 주파수에 따른 감쇠와 위상 왜곡을 겪게 됩니다. Equalization은 전송 채널의 주파수 응답에 보상 값(역함수

Eye Diagram이란? #eyediagram #VLSI #PI #SI [내부링크]

고속 디지털 시스템을 설계할 때, Signal Integrity(신호에 잡음이 없이, 이상적인 상태)는 안정적이고 신뢰할 수 있는 통신을 위해 필수적인 요소입니다. 특히 트랜지스터의 동작 속도가 빨라지고.. 회로가 매우 미세해지고... 칩이 매우 복잡해지면서, SI 문제는 더 커지고 있습니다. credit: Neural-Network Approaches to Electromagnetic-Based Modeling of Passive Components and Their Applications to High-Frequency and High-Speed Nonlinear Circuit Optimization 실제로 USB3.0, 4.0... PCIe 3.0, 4.0 5.0 이런 식으로 세대를 올려가려면, 더 높은 대역폭을 가져야할텐데, 이런 더 높은 대역폭을 가지려면 주파수가 더 빨라져야하고.. 채널이 복잡해지게 될텐데, 이런것들이 SI에 나쁜 영향을 줍니다. 결국 SI에 대해 잘 고려

Lockup latch란? #DFT #SCAN #VLSI [내부링크]

D Latch란? D Latch는 디지털 회로에서 사용되는 설계입니다. Flip flop은 Level triggering (1->0, 0->1)에 따라 변하는 반면, Enable Signal의 Level(1, 0)에 따라, Input Data 신호에 의해 동작합니다. Lockup Latch는 다양한 곳에서 사용 될 수 있지만, DFT에서 특히 많이 사용됩니다. 우선 간단한 Timing path를 보겠습니다. credit: https://vlsitutorials.com/constraining-timing-paths-in-synthesis-part-1/ STA 할 때 Timing path는 CK -> D의 Timing path가 보입니다. Physical design을 할 때에도 CTS는 위 register끼리 알맞게 CTS가 될겁니다. 이런 기본적인 Timing mode를 Function mode 혹은 Mission mode라고 부릅니다. 여기서 DFT를 추가해보겠습니다. DFT 기법

EDA와 AI, 그리고 Prediction #VLSI #ASIC [내부링크]

최근 각광받고 있는 머신러닝(Machine Learning) 기술을 EDA에서 어떻게 활용하고 있는지 소개하고자 합니다. AI는 정말 다양한 분야에서 연구가 되고 있는데, 제가 살펴볼 부분은 "이미 EDA 수 년전부터 적용하고 있다고 발표한 AI" 기술입니다. AI Chip 설계라고 하면, 두가지입니다. 1) AI를 구동시킬 Chip을 설계하는 것. 2) Chip설계를 AI로 하는 것. 여기서 살펴 볼 내용은 2번 내용입니다. Synopsys에서는 World's First AI Application for Chip Design으로, DSO.ai를 마케팅하고 있습니다. Cadence에서는 Cerebrus라는 제품을 출시했구요. 아래 뉴스에서는 AI Driven EDA를 사용한 적은 인원의 엔지니어가 기본 EDA를 사용한 더 많은 인원의 엔지니어보다 훨씬 좋은 결과를 내놨다는 것입니다. https://semiwiki.com/eda/302555-using-machine-learning-t

Robustness Analysis란? DFR이란? [내부링크]

Robustness Analysis란? 외부 변동 요인에서, 제품 성능에 미치는 영향을 분석하는 프로세스입니다. 이는 반도체 칩 설계 할 때에도 쓰이고, 인공위성을 설계할 때에도 쓰이더라구요. 반도체 설계에서는 RA(Robustness Analysis)란 Process variation, Voltage variation, Temperator variation 등의 변동 요인이 설계 성능에 미치는 영향을 분석하는 프로세스를 말합니다. 최신 공정에서는 이러한 변동성이 점점 커지고 있어, 설계의 안정성과 신뢰성 확보가 큰 목적입니다. - 반도체는 수명이 있습니다. 반도체는 동작 할 때 열을 내고, - 열을 포함한 다양한 외부 환경조건들이 반도체를 노화시킵니다. -> 이러면, 반도체가 언젠간 고장이 나겠죠. 말이야 쉬운데, (1) 내 자율주행 자동차가 운전 중에 고장이 났다면? (2) 인공장기 및 의료기기에 들어가는 반도체가 갑자기 고장났다면? (3) 보안 소프트웨어 회사가 클라우드를 활용

안녕하세요 [내부링크]

오늘 하루는 잘 보내셨나요? 저는 집에만 누워있으면 죽어있는 것 같아서, 뭐든 하루를 가득 채우려고 합니다. 아무 것도 안 하고 아무 걱정도 없는게 하나의 바램이기도 한데, 아무것도 안 하면 지난 시간이 너무 아쉽더라구요. "뭐라도 했다~" 하는 뿌듯함이 필요해요 ㅎㅎ 정말 봄이 온 것인지 요즘은 햇살이 따스해서 기분이 좋더라구요. 주말 아침에는강아지랑 가벼운 산책 하고, 맑은 정신으로 주말 틈틈히 회사 업무도 보고, 취미도 하고 부모님과도 행복한 시간을 보냈습니다. 햇살이 따뜻해서 햇빛 들어오는 카페에 가서 책을 읽어도 좋겠다는 생각을 해요. 구체적인 계획은 아니더라도, 미리 하고싶은 것들을 생각해두지 않으면 잘 안되더라고요.ㅎㅎ 저는 제 전공 분야에서 넓게 알고, 모든 문제들을 해결해주고싶은데.. 아직도 내공이 많이 모자라네요. 덴마크의 화학자 닐스 보어는 전문가란, "아주 좁은 범위에서 발생할 수 있는 모든 오류를 경험한 사람"이라고 합니다. 저는 아직 한참 멀은 것 같아요.

구래동 조개구이 맛집 "뽕에 빠진 조개" 구래동 조개 구래동 조개구이 구래동 조개찜 김포조개맛집 구래동맛집 구래역맛집 구래동모임 구래동데이트 [내부링크]

조개구이나 조개찜이가 당기는 날이 있죠. 비오는 날, 술이 생각나는 날, 혹은 그냥 입안 가득 조개의 깊은 맛을 음미하고 싶은 날이요. 오늘 제가 소개해 드릴 곳은 바로 김포 구래동에 위치한 조개 맛집 '뽕에 빠진 조개'입니다. · 주소 : 경기 김포시 김포한강9로75번길132, 119호 · 영업시간 : 매일 16:00 - 02:00 (일요일 마감 24:00, 매달 둘째 넷쨰 월요일 휴무) · 전화 : 0507-1429-6687 주차가능 뽕에빠진조개 경기도 김포시 김포한강9로75번길 132 .119호 이 블로그의 체크인 이 장소의 다른 글 눈에 띄는 독특한 이름의 간판이 시선을 끕니다. 한번 들어가 봐야겠죠? '뽕'이라는 단어에서 짐작할 수 있듯 이곳의 진한 국물 맛 베이스의 조개구이와 조개찜은 중독성 있는 맛을 자랑합니다. 테이블에 앉아 메뉴판을 살펴보니 조개구이, 조개찜 외에도 먹음직스러운 해물 요리들이 다양했어요. 메인은 "짬뽕"과 관련있는 뽕에빠진 조개지만, 맵찔이들과 갔기

반도체 설계 시 고려해야 할 5대 핵심 지표: 전력, 발열, 면적, 대역폭, 지연시간 [내부링크]

반도체 설계의 궁극적 목표 반도체 설계는 Foundry에서 전달해주는 Process Design Kit을 바탕으로, Power, Perfomrance, Area 즉 PPA라는 성능, 전력 소모, 집적도를 최적화하여 경쟁력 있는 제품을 만드는 것입니다. PPA가 가장 중요하다고 보시면 됩니다. PPA를 지표화하는 단위로 TOPS 같은 단위가 있는거구요. 좀 더 나아가면, PPA에 더불어 양산 단가도 중요합니다. 이 모든 것들을 가장 잘 하고 있는 기업이 NVIDIA입니다. 이를 위해서는 전력 소모, 발열, 면적, 대역폭, 지연 시간 등 5대 핵심 지표를 총체적으로 고려해야 합니다. 특히 최근 들어 인공지능과 같이 방대한 연산량이 필요한 애플리케이션이 늘어나면서, 고성능과 저전력을 동시에 만족시키는 반도체에 대한 수요가 높아지고 있습니다. 하지만 이들 지표는 서로 상충되는 경우가 많습니다. 고성능으로 만들면 전력 소모가 심해지고.. 이런것들인데 주로, Trade off라고 부릅니다. 예

오픈소스 반도체 IP의 현황과 전망: OpenSource IP, RISC-V [내부링크]

아두이노, 라즈베리 파이 등 오픈소스 하드웨어 플랫폼이 등장하면서, 오픈소스 하드웨어는 점차 반도체 영역으로 확장되었습니다. 이 글에서는 반도체 설계에서의 오픈소스를 살펴봅니다. 오픈소스 반도체 IP는 반도체 산업에서 계속 주목받고 있는 패러다임입니다. 소프트웨어 분야에서는 일찍이 오픈소스가 리눅스 등으로 산업 혁신을 가져왔고, 하드웨어 분야에서는 최근들어 급격하게 커지는 것 같습니다. 반도체 설계는 결국 위와 같은 Code로 된 RTL 설계로 시작하게 됩니다. 결국 이것을 IP(Intellectual Property)라는 지적재산권으로 만들고, 다양한 칩에서 재사용 가능한 설계 블록을 의미합니다. 스마트폰만 보더라도, USB-C는 USB-C에 대한 IP가 있고, 내부 통신 칩에도 다양한 IP가 있죠. IP 설계 회사들은 이런거 잘 만들어놓으면, 다양한 반도체 회사에 자신들의 USB IP를 판매 할 수 있는거구요. Soft IP와 Hard IP Soft IP란 HDL(Hardware

오픈소스 반도체 생태계와 새로운 비즈니스 모델 [내부링크]

오픈소스 반도체 IP의 등장으로, 학교 연구실부터 졸업 프로젝트, 그리고 스타트업의 전용 반도체 설계까지.. 새로운 분야가 커지고 있습니다. 최근 대세인 인공지능 반도체의 경우, Chiplet이면서도 반도체의 Die 자체는 SoC 구조로 설계되어있습니다. 아래 그림처럼 NoC 기반으로 PCIe로 인터커넥트하고, ARM CortexA53으로 CPU 기능을 사용하고, DDR Controller놓고 이런건데, PCIE, CA53 이거 다 상용 IP고 다 돈이죠. 스타트업에서도 이런 로열티 부담이 크고, 학교에서도 쓰기 쉽지 않고, 중국에서는 이런 무역 분쟁이 있을 때는 더 쉽지 않죠. ref: https://riscv.org/blog/2021/07/neuralscale-industry-leading-general-purpose-programmable-npu-architecture-based-on-risc-v/ NeuralScale: Industry Leading General Purpos

글로벌 반도체 기업 브로드컴(Broadcom, AVGO) - 통신, 네트워킹, 스토리지, 전용반도체, 정말 다양한 분야의 핵심 리더! [내부링크]

브로드컴은 1991년 캘리포니아 대학교 로스앤젤레스(UCLA) 교수진들에 의해 설립되었습니다. 글로벌 반도체 순위 5위 정도, 시스템반도체 순위 3위 정도 왔다갔다 하는 기업입니다. 지금은 시가총액이 $6,000억 정도 되는 대기업입니다. 국내에서도 은근 많은 사람들이 일 하고 있는 회사이구요. 초기에는 "고성능 아날로그 및 DSP 반도체 칩"을 설계하는 회사로 출발하여, 현재는 1. 유선인프라: 케이블 모뎀·셋톱박스·스위치·라우터 2. 무선통신: 와이파이 및 RF 칩셋 3. 산업용 서버 커넥터 및 컨트롤러 4. AI, Automotive를 비롯하여 다양한 ASIC 칩들 을 설계하는 것으로 알려져있습니다. 통신 Chip을 설계하는데, 딱 떠오르는 기술인 5G 같은 경우도 브로드컴이 설계하고, 공정사를 통하여 애플의 아이폰에 사용되는거죠. 그리고 통신칩은 다른 칩과 다르게, "성능에 직접적으로 연관되고", "하루 종일 동작"해야하는 칩이기 때문에 설계가 매우 까다롭고, 스펙 조건이 매

Physical Implementation / Physical Design Engineer 되는법 : 취업 및 이직의 필수 역량과 커리어 개발 전 [내부링크]

최근 글로벌 반도체 산업이 급성장하면서 관련 인재에 대한 수요도 크게 증가하고 있습니다. 칩 설계 분야에서 Physical Design 분야가 Pool이 계속 커지고 있습니다. 미세공정으로 들어가고, 3D Packaging을 하면서 물리적으로 고려해야 할 것들이 너무 많아졌고, Physical Design Engineer가 반도체 칩의 성능, 전력 효율성, 수율 등을 결정짓는 핵심 요소들을 다루기 때문에 그만큼 전문성과 숙련도가 요구되는 직무입니다. 실제로 많은 반도체 기업에서는 우수한 Physical Design Engineer 확보에 사활을 걸고 있습니다. 덕분에 경력과 실력을 갖춘 엔지니어라면 업계 내에서 높은 처우와 다양한 기회를 얻을 수 있죠. 이에 본 글에서는 Physical Design Engineer가 되기 위해 갖추어야 할 역량은 무엇이고, 대학 시절부터 신입 엔지니어로 성장하기까지 어떤 로드맵을 그려야 할지 살펴보겠습니다. 나아가 경력 개발을 위한 노하우도 함께 공

DFT에서 Pipe register의 역할 [내부링크]

Overview DFT라고 불리는 Design For Testability는 디지털 회로 설계에서 사용되는 방법론입니다. 논리 회로 설계를 이상적으로 구현하였더라도, 공정 결함으로 문제있는 반도체 칩은 발생 할 수 있습니다. 뭔가 살짝 얇게 들어가거나, 더 두껍게 들어가면 이런게 결함이 되죠. 이런 칩들을 높은 정확도로 빠르게 찾아내기 위해 "검증을 위한 설계"를 회로에 넣습니다. SCAN Design은 DFT의 대표적인 설계 기법입니다. 이는 회로 내 모든 플립플롭을 몇 개의 체인으로 연결하고, "테스트 시에는 테스트 장비의 입력을 넣고 출력을 뺄 수 있게" 하는 방법론입니다. 이렇게 하여 테스트 벡터를 쉽게 인가하고 테스트 응답을 관측 할 수 있습니다. 문제는, 회로의 크기가 매우 커지고 복잡도가 증가함에 따라, "SCAN Chain의 물리적인 길이가 너무 길어지게 됩니다. 이는 테스트 벡터 전달 시간 증가, Clock Frequency를 낮춰야 하는 문제들이 생깁니다. 이런 문

IDDQ 테스팅: 정적 상태에서 흐르는 전류를 갖고 공정 결함을 검증하는 방법론 [내부링크]

IDDQ란? 대기상태(Quiescent state)에서 공급전류(Idd)를 측정하는 회로 테스팅 방법론입니다. "회로의 신호 전환이 이뤄졌을 때, 순간 Static Current가 뜨겠지만, 그 이후엔 Static current가 0에 가까운 값이 나오는게 기본적인 CMOS입니다." 그런데 공정상에서 결함이 발생하면, 정적상태에서도 매우 큰 Static Current가 흐르게되고, 결국 칩이 정상작동하지 않게 됩니다. 기능 자체는 정상작동 할 수도 있는데, 전력 소비가 커지겠죠. 전력 소비가 만약 너무 크다 하면 기능도 정상 작동 안할 것이구요. Defect 예시입니다. Credit: https://eecs.ceas.uc.edu/~jonewb/iddq.pdf 용어 설명 : IDD: Current flow through VDD Q: Quiescent state IDDQ Testing: Detecting faults by monitoring IDDQ 회로의 신호를 전환시키지 않고 일정하

벚꽃이 만개하고: 경복궁 나들이 [내부링크]

경복궁으로 나들이를 다녀왔습니다. 벗들과 함께 한복 곱게 차려입고 빙수도 한접시 했습니다. c 예로부터 임금님께서 정사를 펼치시던 경복궁은 우리 민족의 얼과 혼이 깃든 곳입니다. 조선의 왕조 500년 역사를 고스란히 품고 있는 곳이기도 하죠 사전투표장에서 투표 하시고 꽃구경 나들이 다녀오시는 것을 추천드립니다. 반팔에 봄 자켓 걸치고 가세요. 저녁엔 바람이 차네요. 귀가하는 길에 종합감기약 하나 샀습니다 c 근데 왜 경복궁 사진이 없고 이런사진만 있는걸까요. 웅장한 광화문을 지나 근정전에 올라 사방을 둘러보고, 경회루에서 꽃 향기를 맡으며 새소리 아기들 재잘대는 소리 들으면 정말 근심 걱정 다 잊고… 아, 집에 나오는게 귀찮긴 했는데 나오니까 재밌당ㅎㅎ 이런 생각이 들더라구요. 수원 화성도 다녀왔습니당 경복궁을 다녀와서 광장시장도 갔습니다. 고등학교 시절부터 종종 가던 광장시장이 참 많이 변했더라구요. 얼마전엔 넷플릭스에서 뜨고 떡볶이 한 그릇 만원이었는데, 요즘은 3000원. 내

LVS란? Layout versus Schematic란? ASIC Flow에서 DRC란? [내부링크]

LVS란? LVS, 즉 Layout versus Schematic는 Layout vs Schematic입니다. Physical Design의 후반 단계에서 진행합니다. 아래 그림처럼, Layout과 Schematic을 비교하는게 LVS라고 보시면 됩니다. 여기서 Layout은 "공정을 위해 만든 물리적 설계도" Schematic은 "물리적 설계를 위한 논리적 설계도"라고 이해하시면 대강 맞습니다. Digital Circuit을 예로 들면, Verilog style의 "Code"를 GDS나 Oasis같은 "Code"와 비교하는 Code2Code verification입니다. Place&Route Tool에서는 이렇게 Net Route를 하여도 "문제없다!"라고 판단했는데, 실제 공정사 Design rule에서는 이정도 좁은 간격으로는 Net을 연결 할 수 없고... 결국 Design Rule Check에서 위배되어 Short로 판단 될 수도 있습니다. 결국 LVS Fail로 만들어지구

“모든 것을 위한 무어의 법칙” 전문, 한국어 번역, [원문: Moore's Law for Everything], 샘 알트만 Sam altman [내부링크]

ChatGPT 라는 제품을 가진 OpenAI의 CEO 샘 알트만은 아래 사이트를 공개 하였습니다. Moore's Law for Everything 모든 것들을 위한 무어의법칙, 모두를 위한 무어의 법칙으로 불립니다. 글이 쉽지 않습니다. Software부터 Hardware까지 전체적인 이해가 있다면, 15분. 완전 모른다면, 다 읽는 것에 3시간이 넘게 걸릴 수도 있을 것 같아요. 이 글은 그냥 공학적인 내용만 담은 것이 아닙니다. 인공지능으로부터 발생할 일자리 소멸, 빈부격차, 정치 / 경제 / 사회적인 이슈들까지 시뮬레이션합니다. 저는 이 글을 읽고 꽤 충격을 받았습니다. 그리고 이 글을 내 사람들에게 공유하고싶어 이렇게 한국어로 옮깁니다. 인공지능으로부터 발생하는, 미래에 대한 불안의 시대에서 길잡이가 될 것이라고 믿습니다. 참고로 "무어의 법칙"은 Intel의 창업자 "무어"가 만든 반도체 시장을 받쳐준 법칙입니다. 수십년동안 "2년마다 2배씩 반도체 집적도를 높인다" 이게

레거시반도체란? Legacy Chip: 반도체 엔지니어 시점에서. [내부링크]

반도체 세상에서 유명한 법칙이 있습니다. "무어의 법칙" 반도체는 2년마다 2배씩 집적도가 높아진다는 법칙입니다. 전기적 신호가 흘러야 할 물리적 거리가 짧아지면서, 반도체 성능은 좋아지고 파워도 덜 먹고 면적도 작아지게 되죠. 2024년에 intel은 1.8nm 공정, Samsung은 2nm 공정을 최선단 공정으로 준비하고 있습니다. 그런데 이런 공정들이.. 매우 비쌉니다. 공정 장비도 비싸고, 양산 수율도 낮습니다. 그런데 모든 반도체가 매우 고성능을 요구하는 것은 아닙니다. 헤어드라이어에 들어가는 반도체, 밥솥에 들어가는 반도체, 스마트TV 속 디스플레이 IC 이런것들은 뭐 그렇게 고성능일 필요는 없죠. 그래서 굳이 비싼 최선단공정의 칩을 사용하지 않고, "성숙 공정"에서 "검증된 방법론"으로 제품을 설계하고 검증하여 제품을 만듭니다. 레거시 반도체란? Legacy chip이라고 불리는 레거시 반도체는 성숙공정에서 양산 가능한 집적 회로를 의미합니다. 레거시 반도체는 -> 레거

인공지능이 발전하면서, 네이버와 구글에서 괜찮은 정보를 찾기가 더 어려워졌다 [내부링크]

이제 인공지능 및 LLM이 글을 꽤 높은 수준으로 써준다. 그래서 네이버, 티스토리에서 자동화시켜놓은 블로그가 많은데.. 읽어보면 막상 알맹이가 없거나, 사실이 아닌 글이 너무 많다. 네이버나 구글이 알아서 필터링하고, 이런 것들을 저품질 처리해서 밑으로 내려야하는데… 그런 노력이 진행은 되고 있겠지만… 이제 검색해서 사람이 쓴 글을 찾아보기가 힘들다. 점점 네이버랑 구글 검색창을 더 안 쓰게 된다. 글을 읽어보면, 똑똑하신 분들이 현장에서 구르면서 배워온 그런 내용이 아니라 대규모언어모델에서 정제된 것들… SEO는 지능형이 아닌가보다. 작성된 글의 길이, 사진이 몇개인지, 외부링크가 있는지 이런 것들을 따져서 검색결과 상위 랭크시키니.. 당연히 AI가 쓴 글이 더 상위에 띄워진다. 손으로 글을 쓰는 사람들의 글을 보기가 쉽지 않다. 이게 대세니까 이렇게 하는거라면… 나도 LLM의 힘을 빌려보기로. 사실 나는 사람들이 쓴 진솔한 이야기를 보고싶은건데. 좀 더 읽기 좋은 글들이 검색

반도체 하드웨어 에뮬레이션이란? Hardware Emulation이란? HAV란? [내부링크]

우선 에뮬레이션이라는 것이 무엇인지 간단히 보고 글을 시작하겠습니다. 하드웨어 에뮬레이션이란? Hardware emulation은 HAV라고도 불리는데요. 회로 설계 분야에서 검증에 사용되는 기술입니다. 아직 실리콘으로 제조공정되지 않은 상태에서 엔지니어가 소프트웨어 기반 환경이나 에뮬레이터로 알려진 전용 하드웨어 플랫폼에서 "하드웨어 설계의 동작을 미리(?) 검증.. 그러니까 반도체 하드웨어를 에뮬레이션할 수 있게 해줍니다. 위 그림이 HAV를 가장 잘 설명했다고 봅니다. 이 디지털 논리회로의 설계 코드가 나중에 실리콘으로 나올때까지 너무 시간도 오래걸리고 비싸니까, 특수 하드웨어가 그 안에서 Chip이 있는것처럼 미리 돌아가 보는겁니다. 그러면 FPGA로 하면 되는거 아니냐?경우에 따라 FPGA로 해도 됩니다. - 근데 엄청나게 큰 작업을 해야한다 - 더 빨리 병렬적으로 결과를 보고싶다 - 셋업타임을 더 제한해서 결과를 보고싶다. - 컴파일 / 디버그에 도움을 받고싶다... 이런

반도체 Reliability란?: BTI, HCI, TDDB, EM [내부링크]

현대 반도체 회로는 지속적인 스케일링을 통해 높은 집적도와 성능을 구현해 왔습니다. 과거에는 일본이 우리나라보다 반도체 공정 기술이 우리나라보다 훨씬 뛰어났는데, 어느순간 우리나라가 반도체 규모의 시장에서 일본을 넘어섰죠. 제가 반도체 공정 수업에서 교수님께 들은 내용으로는.. (농담으로한걸지도 모르겠지만.) 일본이 그 기간동안 놀았냐? 그건 아니고, 일본은 선행기술, 기초과학을 토대로 장인(?) 정신처럼... 일본은 반도체 수명에 대한 연구를 했고, 예를들어 10년 20년 동안 쓸 수 있는 "반도체 칩"을 만드는걸 목표로 했다고 합니다. 우리나라는 양산, 미세공정 이런 것들에 집중을 했구요. 2000년대 반도체 시장을 생각해보면, 2년마다 무어의법칙을 따라 사람들은 2년마다 휴대폰을 바꿨고.. 각종 전자장비도 10년 20년 쓰는 경우는 드물죠. 결국 지금처럼 된 것 같습니다. 일본 반도체는 전자재료공학 분야에서 잘 나가고, 한국은 설계 및 공정에서 잘 나가고. 하지만 이런 "반도체

AI Accelerator란? 인공지능 가속기란? NPU란? [내부링크]

AI Accelerlator란? AI 가속기는 신경망과 같은 AI 작업의 효율적인 처리를 위해 전용 반도체로 설계된 고성능 병렬 컴퓨팅 머신입니다. 전통적으로는 반도체 설계 비용이나 공정 비용이 너무 높았기 때문에 범용 반도체로 게이밍 PC에도 쓰고, 코인 채굴도 하고, 인공지능 학습도 하였습니다. AI라는것에 A를 보면 Artificial입니다. 인공적으로.. 그러니까 사람이 뭔가 만든건데요. Artificial Neural Network입니다. 뇌 속의 뉴런 신경망을 소프트웨어 레벨에 모방해서 만든겁니다. 그래서 인공지능을 학습시키고.. 모델 만들어서 추론시켜서 결론 만들고.. 이런 작업을 합니다. 소프트웨어 업계에서는 훨씬 높은 성능과 낮은 전력을 갖고 경쟁합니다. 그리고 범용 반도체는 맥가이버칼처럼 모든 곳에 쓸 수 있지만, 전기톱보다는 나무 자르는 속도가 늦죠. 현재는 인공지능 Inference Chip이 대세가 되고 있습니다. AI Accelerator는 인공지능 작업을

[지리고돈] 김포 구래동 지리산 돼지고기 맛집 #구래동맛집 #구래동삼겹살 #구래역맛집 #구래동흑돼지 #김포흑돼지 #구래동회식 #구래동가족모임 [내부링크]

비도 오고, 소주에 삼겹살이 땡기는 오늘, 구래동 "지리고돈"을 다녀왔습니다. 구래동에 위치한 이 식당은 외관만 보면 그저 평범해 보이지만, "지리산 돼지고기 전문점"이라는 특별함이 있습니다. 오늘은 김포 구래동에서 발견한 이 숨은 맛집, "지리고돈"을 소개하려 합니다. 구래동을 방문하신다면, 들려보시길 추천드립니다. 김포 구래동 지리고돈 영업시간 : 주소:방문 위치: 경기 김포시 구래동 6881-10 영업시간 : "월요일 정규휴뮤" / 화-일 15:00-01:00, 00:00 라스트오더 번호: 0507-1379-7258 주차 :건물내 주차장이용가능 지리고돈 경기도 김포시 김포한강9로75번길 102 1층 108호 이 블로그의 체크인 이 장소의 다른 글 가게에 들어서면 맛있게 먹는 방법이 바로 보입니다. "지리고돈"에서 가장 인상 깊었던 점은 사장님이 굉장히 친절하셨어요. 사장님은 손님 한 분 한 분께 정성을 다해 서비스를 제공하시고, 고기를 굽는 방법까지 자세히 설명해 주셨어요. 안

[SSOG] 구래동 자연산 딱새우 맛집, 김포한강신도시점에서 신선한 회와 수제 요리를 즐기며 여유로운 술한잔! 김포맛집,구래동 술집,구래동 이자카야,구래역 술집,구래역 이자카야 [내부링크]

김포 구래동에 위치한 SSOG 김포한강신도시점을 다녀왔습니다. Korean Izakaya라고 꽤 유명한 체인이더라구요? [SSOG 김포한강신도시점] 운영시간 연중무휴 PM17:00 - AM01:00 라스트오더 00:00 전화번호 0507-1336-7023 포장/배달 가능, 예약가능, 단체이용가능, 주차가능 SSOG 김포한강신도시점 경기도 김포시 김포한강9로75번길 98 2층 203호 이 블로그의 체크인 이 장소의 다른 글 "제주보다 신선한 자연산 딱새우 SSOG"이라고 자부를 해서, 궁금해서 방문해봤습니다. 제가 갔을 때는 여유로운 매장 내부에, 테이블 빈자리는 없었습니다. 자리가 꽉 찼어요! 예약하고 단체회식을 하기에도 안성맞춤인 것 같아요. 일단 분위기가 은은한 조명 아래에서 조용히 얘기 할 수 있는 분위기라 좋았어요. SSOG 김포한강신도시점의 가장 큰 장점은 신선한 재료를 사용한 다양한 메뉴라고 할 수 있어요. 특히 제주도보다 더 신선하다는 딱새우 회와 숙성연어가 이 집의 시

SLM, Silicon Lifecycle Management: 반도체 수명 관리를 최적화하는 방법론 [내부링크]

제가 자주 보는 채널 중 하나인 "Semiconductor Engineering"에 SLM이라는 주제의 동영상을 찾았습니다. 정리 겸 작성해봅니다. SLM이란? Silicon Lifecycle Management(SLM)는 반도체의 "최종 사용자의 시스템"에 설계, 제조, 테스트부터 배포되는 반도체 디바이스까지 모두 모니터링, 분석을 하여 통해 실리콘 수명을 개선하는 방법론입니다. 오늘날 반도체 산업은 인공지능, 의료산업, 자율주행까지 쓰이며 안정성 요구사항이라는 큰 도전과제에 직면해 있습니다. 칩이 나간 후에도 사후 관리가 계속 되어야한다는 것이죠. 수명에 문제가 있으면 미리 경고도 줘야하구요. SLM에서 수집하는 데이터 SLM은 두 가지 핵심 원칙에 기반하여 구현됩니다. 첫째, 실리콘 설계 내에 모니터링 및 분석이 가능하여야 함. 둘째, 실리콘 수명주기 전반에 걸쳐 데이터를 수집하고 분석이 가능해야 함. 주로 아래 세가지 데이터를 설계 / 제조 / 테스트 / 배포 등 전 단계에서

Glitch Power란? [내부링크]

Glitch라는 단어는 한국어로 작은 문제입니다. 근데 한국어로는 defect도 결함이고, fault도 결함이고, error도 결함이라, 이거 구분이 안 됩니다. 그냥 Glitch라고 외우시면 됩니다. Glitch는 Software에서도 부르고, Hardware에서도 부르는데, 저희가 볼 부분은 "반도체 설계"에서의 Glitch입니다. Glitch란? Glitch란 회로에서, 논리회로 설계 수준에서 보이지 않는 신호 전환이 실제 물리적인 환경에서 발생하는 것을 의미합니다. 주로 경로 내 신호 타이밍 불균형으로 Race Condition에 의해 발생합니다. 이러한 Glitch로 인해 소모되는 전력을 Glitch Power라고 합니다. 실제 반도체가 구동되는 물리적 환경에서는 - 전자기학적 원인들도 있고, - 각각의 트랜지스터들에게는 각각에는 전기가 흐르는데 걸리는 필요한 시간이 있고, 배선의 길이가 완전히 똑같지 않다는 이유도 있고, - 똑같은 장비에서 똑같은 Metal을 그려도, 어

모든 전공강의 다 수강한 엔지니어의 "공대생 노트북 추천" 전자공학과 / 전기공학과 / 컴퓨터공학과 / 반도체공학과 코딩 인공지능 그래픽 작업 설계 노트북 추천 [내부링크]

반박시 여러분 말씀이 다 맞습니다. 자동차처럼, “아반떼 사느니 돈 쫌 보태서 소나타 사겠다. 소나타 사느니 돈 쫌 보태서 중고 bmw 사겠다” 이런식으로 한도 끝도 없는게 노트북입니다. 적당한 것 사면 큰 불만 안 갖고 졸업까지 씁니다. 군대 다녀오고 5년 지나도 충분히요. 대학 입학 예정 / 고등학생 / 대학 재학생 / 취준생분들 노트북 구매하셔야 할 텐데요. 일단 제가 노트북에 대해서 한 가닥 하는 경험을 갖고 있는데요. 공대, 대학원, 회사까지 그냥 노트북과 함께 살았습니다. 24시간 저는 노트북이랑 2m 이상 떨어지지 않아요. (저는 노트북을 침대 바로 옆에 두고 자고, 회사에선 노트북을 모니터에 연결해서 작업하고, 카페를 가든 유럽 여행을 가든 노트북을 항상 들고 다닙니다.) -> 왜냐? 갑자기 노트북으로 업무를 봐야하는 상황이 늘 생김. 전자공학과에서 학과에 있는 모든 전공 다 수강 했음. 최소한 컴퓨터/전기/전자 컴퓨터 분야에선 모든 전공에 대해 얕고 넓게는 알고 있음

Physical only cell란? End cap cell, Well Tap Cell, Tie Cell, Decap cell, Filler cell이란? 반도체 [내부링크]

흔히 컴퓨터는 0과 1의 세상이라고 부르고, 학사과정에선 "컴퓨터구조론" 등에서 디지털논리회로를 배웁니다. 실제 반도체 칩은 "논리 회로"로 구성되어있지 않습니다. "물리적인 회로"로 구현되어있습니다. Fabless에서는 Foundry가 보내준 PDK를 통해 회로설계를하고, PDK는 Foundry에서 만듭니다. (PDK가 뭔지 모르시는 분들은 제가 이전에 PDK에 대해 포스팅하였으니, 그 부분 먼저 보시는 것을 권합니다.) PDK를 열어보면, 학교에서 배운 논리회로인 "AND, OR, INVERTER, NAND" 이런 Gate 뿐만 아니라, Filler cell, decap cell 등 처음 보는 Physical cell들이 존재합니다. 실제 반도체 동작 환경은 이상적이지 않다는 것을 전제하면 이해가 쉽습니다. VLSI 설계에서 'Physical only cell'은 미세공정에서 최적의 성능, 전력 효율성 및 신뢰성을 달성하는 데 도움을 줍니다. Physical Cell이란? 반도체

반도체 PVT란? Operating condition이란? Process, Voltage, Temerature Variation in VLSI / ASIC [내부링크]

우리가 사용하는 반도체 트랜지스터는 보통 두가지 이유 때문에 사용합니다. Switching 기능 Amplifing 기능 그리고, "반도체가 무엇인가?"를 생각하면, 3. 도체와 부도체 사이... 어딘가. 4. Energe band gap이 적당히 좁아서, 약한 외부 에너지를 통해 제어 할 수 있는 물질입니다. 이 PVT는 4번에도 연관이 있고, 설계에도 공정에도 관계가 있습니다. 학교에서 Semiconductor device 강의 들을 때, 이런 공식들을 자주 마주합니다. MOSFET Model 좀 더 선진 공정에선 이런 식들을 볼 것이고.. 더 선진 공정에선 더 복잡한 식들을 보겠죠? 어쨌든 이런 것들이 모든 환경에서 위 변수들로 동작하는 것은 아닙니다. 위 공식은 신이 내려주신 공식이 아니구요. 과학자들과 공학자들이 "설계하고, 실험하고, 교정하고" 이것을 엄청나게 반복해 만든 식입니다. 반도체 동작 환경 ref: Disorder induced interface states an

반도체 회로 설계 직군으로 국내에서 취직 할 수 있는 대기업: 전자공학과 컴퓨터공학과 회로설계 취업 대기업 취업 [내부링크]

반도체 회로 설계는 첨단 기술 분야로서 높은 전문성과 역량을 요구하는 직군입니다. 한국에는 세계적인 반도체 회사들이 많아, 해당 분야에 대한 높은 수요가 존재합니다. 우리나라에서 반도체 회로 설계 직군으로 취업할 수 있는 대기업들을 소개하고, 각 회사의 특징을 간단히 살펴보려고 합니다. 1. Synopsys 전세계 전자 회로 설계 자동화 분야 시총 1위, 점유율 1위, IP 점유율 2위. 그리고 채용 사이트가 매우 편리하다. ESPP라고 불리는 복지가 매우 좋다. https://careers.synopsys.com/search-jobs/South%20Korea/44408/2/1835841/36x5/127x75/50/2 Search our Job Opportunities at Synopsys Search for available job openings at Synopsys careers.synopsys.com 퀄컴과 시높시스는 블라인드 트로피 (블라인드 직업만족도 조사 TOP10) 2

전용 저전력 반도체: “저전력 반도체가 더 고성능이다?” 반도체 PPA, 데이터센터와 온디바이스 반도체 시점에서. ASIC, NPU, GPU, VLSI [내부링크]

저전력 반도체에 대한 이야기를 할건데, 이 글에선 "환경"에 대한 이야기를 거의 안 할 것입니다. 당연히 저전력 반도체를 쓰면 환경에 좋을 것이고, 현재 반도체의 전력소모가 엄청나게 크다는 것은 누구나 알고 있는 사실이니까요. 각 데이터센터들이 1년에 얼마만큼의 전력을 사용하는지는 공개를 하고 있지 않지만, 열을 식히려고 강가에 짓고, 추운 지역에 짓고, 그런데도 전력난이 심각합니다. (데이터센터 지방 분산화가 필요!!) 이런 에너지 측면의 문제를 제외하고도, 다양한 문제들이 존재하기 때문에, 그것들에 대해 알아보려고합니다. 저전력 반도체란, 최적 성능을 유지하면서 최소한의 전력 소비로 작동하도록 "설계"된 반도체입니다. 성능을 우선시하는 반도체와 달리 저전력 반도체는 "전력 대비 성능, 전성비"를 높이도록 설계되었습니다. 슬립모드, 저전력 동작 모드, 고성능 모드 이런식으로 모드를 나누기도합니다. 참고로, 전력 소비는 "성능과 배터리 수명"에도 영향을 끼칩니다. 우선, 반도체 설계

엔비디아에서 5년 근무했다면, 얼마 정도의 소득을 기대 할 수 있을까? #엔비디아 연봉 #ESPP #RSU #엔비디아주 [내부링크]

문득 NVIDIA에서 5년 정도 일한 엔지니어가 얼마 정도 벌었을까 궁금해졌습니다. 제가 NVIDIA 복지에 대해 모르고, 세무도 잘 모르기 때문에.... 의심하면서 읽고, 틀린 부분은 지적해주세요!! 연봉 미국 회사 리뷰 사이트인 "Glassdoor"에서 NVIDIA Salary를 검색해보면 아래처럼 나옵니다. Total pay = 기본급(Base) + 보너스(Additional) 가장 낮은 Total pay는 $189K이다. 최소 얼마 정도 벌었는지가 궁금하므로, 최소 연봉으로 계산해보겠습니다. 아래 연봉이 1년 연봉. 진짜 대강 계산할거라, 10년동안 오를 연봉, 다른 보너스는 고려하지 않는다고 가정. 그러면 5년이면 $835,000 정도. 그리고 1년 기본급은 $167,000니까, 2억 2,044만 원 정도 된다고 보면된다. ESPP 미국계 회사를 다니는 사람들은 다 알 "ESPP와 RSU". 회사마다 정책이 좀 다르긴 한데, 간략히 보면, 2년동안 6개월 주기로 4번 주식을

[공대생 일상] 엔지니어는 휴무일때 뭐할까.log [내부링크]

회로설계 / 반도체 설계 / VLSI설계 / ASIC 설계 / EDA 등... 이런 엔지니어들이 쉬는 날에는 뭐 하고 사나 궁금하지 않나요? 저는 그랬어요 ㅎㅎ 다행이게도(?) 설계 엔지니어라서 교대 근무는 하지 않습니다. 주 5일 근무가 기본이긴하지만, 이 시간은 코어타임이라고 보면 됩니다. 9시~18시까지는 코드 작성을 해놓고, 작업 하나 돌려놓고... 집에 와서 결과 확인하고.. 그 다음 작업 돌려놓고.. 자기 전에 결과 확인하고... 그래서 노트북을 항상 가까이 들고 다닙니다. 왜냐하면 그냥 작업 한 번 실행시키는게 몇 시간~며칠 걸리거든요. 나는 사실 밥 자체는 별로 좋아하지 않는데, 반찬과 간식을 진짜 좋아한다. 막상 밥 먹을 때 되면, 밥은 거의 안 먹고, 맛있는 것만 골라 먹는다. 제일 좋아하는 과자는 sorlands chip 좋아하고 ~~ 초콜렛은 sorlands chip 좋아한다. 비요뜨도 좋아하고 이것저것 좋아하는데, 건강 때문에 덜 먹으려고 합니다.ㅎㅎ 소소하지

엔지니어 캐파, 반도체 + 몇 가지 생각 + 2024년 목표와 반성 [내부링크]

캐파 일하다 보면 "캐파(Capability)를 키워야 한다" 이야기를 종종 한다. 얼마나 많은 / 복잡한 일을 할 수 있는가? Microsoft Excel 제대로 할 줄 아는 1명이, 주판 두들기는 사람 5명보다 낫다. 그래서 아는게 힘이라고 부르나보다. 설계도 비슷하다. 설계 툴 잘 다루는 1명이, 설계 툴 없는 100명보다 낫다. 반도체에는 포화영역이 있다.특정 Threshold라는 문턱 전압 이전까지는 전류가 쭉쭉 오르다가, 특전 전압 이후로는 전류가 포화영역에 들어선다. 사람의 나이-캐파 그래프도 비슷하다. 사람마다 다르긴한데, 어느정도 나이를 시점으로 캐파가 늘질 않는다. 2024년 반성과 목표 나는 아직 모르는게 너무 많고, 배울 때마다 대역폭이 크게 늘어나는 것 같다. 그러면서도 아직 내가 모르는게 너무 많다는게 불편하다. 나에게 물어보는 사람들, 나에게 요청을 하는 사람들에게 시원시원하게 해결해주는 해결사가 되어주고 싶은데, 그건 "내가 아는 것"에서만 가능하고, "

[무료 상담+컨설팅] 반도체 회로설계 엔지니어 취준 / 취업 / 이직 / 커리어 / 대학생 / 진로 [내부링크]

안녕하세요. 반도체 분야에서 일하고 블로그 활동을 하는 Chase입니다. 저는 반도체를 진짜 좋아해서 하다보니, 일도 반도체고 취미도 반도체 블로그입니다. 블로그를 시작하게 된 계기를 생각해보면, "한국이 반도체 강국이라는데, 생각보다 한국어 자료가 너무 없어서" 입니다. - 사실, 반도체 설계는 다 인간이 만들어 나가는 영역이고, - 처음 문제를 분석하고, 이 문제를 해결하고, 좋은 방법론을 창조해나가는 것이 어려운 것이지, "이거 어떻게 해결했는지? 이거 설계 원리가 무엇인지? 사용법이 무엇인지?" 누군가 설명해주면 누구나 이해 할 수 있는 영역입니다. 그런데, 이런 정보들을 한국에서 찾기 쉽지가 않고, 영어로 된 원서와 논문에만 있다보니 저는 이런 반도체 정보들을 먼저 공부하고 한국어로 정리를 하고 있어요. 이런 내용들을 정리하다보니, 많은 현직 엔지니어 + 대학원생 + 대학생들에게 메일이 옵니다. "이직, 커리어, 취업, 진로" 등 내용으로요. 반도체라는 기술적인 내용들도 참

VLSI에서 Programmable Electrical Rule Check(PERC) 개념 이해하기 [내부링크]

반도체 ASIC 설계 과정을 보면 아래 4단계로 이루어진 것을 볼 수 있습니다. 1. 스케매틱 설계 2. Physical Layout 설계 3. Photo mask 설계 4. 반도체 제조 공정 Physical Verification은 2번에서 3번 넘어가기 전에 진행합니다. Physical Design Rule Check(DRC) Layout Versus Schematic(LVS) Design For Manufactoring(DFM) ElectroStatic discharge(ESD) Rule Check (ERC) 이 정도가 대표적이고, 지금 최고 선진 공정인 1.8nm, 2nm공정라인의 EDA를 보면 더 복잡하고 많은 PV Solution이 개발되고 있습니다. 이 글에서 볼 내용은 ERC입니다. 우리는 Programmable ERC 즉 PERC를 볼 것입니다. 어떤 Rule이 있는지 자세히 볼 것은 아니고, PERC가 뭐냐~ 간단히 기억해두려고 씁니다. PERC란? Programm

MMM이란? MultiModal Model이란? AGI란? ASI란? [내부링크]

인간은 세상을 시각, 청각, 촉각 감각을 통해 경험되는 정보를 토대로 살아갑니다. 현재의 AI 모델은 대부분 채팅 방식입니다. Chat GPT처럼요. 오늘날의 기술 수준은 단일 데이터 유형(텍스트, 이미지 등)에 집중하고 있지만, 앞으로는 MMM, 즉 MultiModal Model이라는 접근 방식을 취하게 될 것입니다. MMM이란? 쉽게 말하면, 지금 인공지능에 눈과 귀를 달아주면 된다고 보시면 됩니다. 지금의 Chat GPT에게 "암" 진단 방법을 알려줘, 하면 알아서 쭉 써줄 것입니다. 그리고 인간이 그것을 갖고 쭉 검사해야 할 것이구요. 그런데 MMM은 자기가 "시각 정보" + "소리 정보" + "촉각 정보" 등 여러가지 정보들을 다 결합하여 결론을 만들 것입니다. 인간과 의료기만 연결해두면 알아서 정보들을 수집하고, 결론을 만들겁니다. 의사는 이 정보를 토대로 “당신의 몸에 암이 있을 확률이 99.9872%입니다.” 라고 말할테구요. 근데 MMM이라는 것이... 말로는 쉽지,

<깊이에의 강요> : 타인의 시선, 나를 짓눌리는 족쇄인가, 날아오르는 날개인가? [내부링크]

<깊이에의 강요>는 독일 소설로, 100페이지 내외로 되어있는 짧은 책입니다. 더 짧게 내용을 요약하면, 주인공 : 미모도 뛰어나고, 그림 열정으로도 실력으로도 촉망 받는 그림 작가. (1) 평론가가 "당신은 그림기술에 재능이 있지만, 작품에는 깊이가 없다"고 비평. (2) 평론가의 의견에 동조하는 사람들이 생기고, 미술관을 가든 술을 마시러 가든 "깊이가 어쩌구,,,"수근수근. "난 왜 깊이가 없을까?", "어떻게해야할까?" (3) 작가는 어떻게해야 작품에 깊이를 만들 수 있을지 고민 -> 답이 정해진 문제가 아니다보니, 연구를 해도 끝이 없고.. 점점 자신감도 떨어지고.. 보는 눈도 많아지고.. 비평은 쌓여가고. 잘 해보려고 미술 서적도 읽고, 다른 작가의 화랑도 가고, 미술 이론을 처음부터 배우면서까지 최선을 다 했지만.. 나이만 먹어갈 뿐이었습니다. "그래 맞아, 나는 깊이가 없어", "내가 잘하는건 뭘까?" 하루종일 화랑에 불도 끄지 않고 연구만 하다가 미쳐갑니다. (4)

엔지니어를 위한 비즈니스 영어 줄임말. Pre, Alpha, Beta version. 기술 영어, 개발자 영어, 엔지니어 영어 [내부링크]

지금보다 더 애송이 꼬꼬마 시절엔 선배들이 뭐라고 하는지 조차 모를 때가 있었습니다. 회의 들어가면.. FDT에서 EVT One RFQ ETA 요청 받고 있다고????? 학교에서 배운적 없는데????? EVT는 PVT 비슷한건가?? 아니면 Energy band Voltage Theshold???? 지금 Alpha 버전이 최신인거야 Pre 버전이 최신인거야???? ppt에 있는 "w/"가 뭐지????? 나: XX님... 죄송한데 혹시 지난 회의에서 얘기했던 **이 이거 맞나요? XX님: ... 외국계 회사에서 일하면서 가장 많이 사용한 비즈니스 영어 줄임말들입니다. 참고로 이 영어 줄임말을 "abbreviation"라고 부르고, 이걸 또 줄여서 abbr이라고 부릅니다. Jargon은 그 업계에서 쓰는 용어를 말합니다. 여기서 포커스를 맞출 부분은 Abbr입니당. Acronym, ABBR Acronym Full Meaning API Application Programming Interf

BSIM Model이란? MOSFET Modeling이란? MOSFET의 PPA 분석은 어떤식으로 할까? [내부링크]

그동안 반도체 칩 레벨에서만 글을 다뤘고, 예전에 배운 TCAD... 반도체 소자 공학 같은 지식들이 머릿 속에서 지워지고 있기도 하고, 많은 분들이 디지털 집적 회로 설계에서 Liberty는 어떤식으로 특성화를 하는지 궁금해 하시더라구요. 틈틈히 TCAD와 HSPICE에 대해서 포스팅을 남겨보려고 합니다. 가장먼저 할 부분은 BSIM Model입니다. BSIM이란? BSIM(Berkeley Short-channel IGFET Model)은 집적 회로 설계를 위해 MOSFET 트랜지스터를 수식으로 모델링했다고 보시면 됩니다. 학부에서는 MOSFET 전류를 유도하기 위해 간단한(?) 아래 식을 주로 이용하는데요. 근데 사실 이게 인간이 발견해낸 공식이고, 완전히 100% 맞는 식이 아닙니다. 미세공정으로 가고, 초고성능, 초저전압이 가정된 상황에서는 이런 공식과 실제 동작과는 큰 PPA(Performance, Power, Area)의 괴리가 발생합니다. 그래서 - 이런 작은 모스펫 소

반도체와 통계학: Sigma(σ)란? 반도체 수율, 양산 검증, DFT, Packaging and Testing [내부링크]

PVT란? Process, Voltage, Temerature에 따라 다양한 Variation 속에서 동작하는 조건을 말합니다. 반도체 동작 환경은 이상적이지 않고, 물론 제조 환경도 이상적이지 않습니다. 온도에 따라 동작되는 성능 및 전력이 다르고, 공급전압에 따라도 달라요. 공정에 따라 또 다릅니다. (이하 Process / Voltage / Temperature. PVT라고 부르겠습니다.) 공정설계 엔지니어가 "우리가 공정 설계한 디바이스는 이런 변동 조건 안에서 이런 PPA(Power Performance Area)로 동작해."라고 PDK를 Fabless에 전달하면 회로설계 엔지니어는 이런 조건들을 갖고 설계를 합니다. 그런데, 각각 다른 Foundry에서 똑같은 장비사에서 반도체 장비를 사와도, A 회사는 B 회사보다 더 좋은 수율로 반도체를 만들어내고, A회사 내에서 N번 라인으로 만들어지는 반도체가 M번 라인으로 만들어지는 반도체보다 수율이 좋게 나옵니다. 반도체를 제조

반도체 Design란? Technology란? Process란? DTCO란? [내부링크]

반도체 직무 소개부터 반도체 관련주까지 너무나도 많은 용어들이 있어 어렵습니다. 심지어 Design, Technology, Process 이런 단어를 사전적으로 알고 있는데도 잘 모르겠죠. Design과 Technology는 10단계로 나누면 대강 아래처럼 나눠진다고 보시면 됩니다. Design (Fabless) Technology (Foundry) (1) Process design (2) Design Rule 지정 (3) PDK 수령 (3) Process Design Kit 전달 (4) Circuit design (5) Tape out (5) 설계도 수령 및 마스크 제작 (6) 반도체 제조 공정 (7) 테스트 벡터 전달 (7) 칩 테스트 벡터 수령 (8) 칩 테스트 및 패키징 (9) 칩 수령, 소프트웨어 온보딩 (9) Fab out (10) 상품화 위 정보는 아주 간단히 요약한거고, 이것보다 훨~씬 많은 커뮤니케이션이 Fabless <-> Foundry간 이어집니다. DTCO란?

디지털/아날로그 반도체 엔지니어 커리어, 매니저와 엔지니어. [내부링크]

해야하는 일만 하면, 딱 그만큼 성장합니다. 어느정도 직급까지는 근무연차만 쌓여도 승진을 하구요. 그런데, 어느정도 직급부터는 근무연차만으로는 승진을 할 수 없음. 내 능력이 낮은 수준으로 제한이 되어있으면. 회사에게도 무시받고 후배에게도 인정 받을 수 없습니다. ( 인격적으로 모독을 한다는게 아니라, 저 분이 더 잘 아실 것 같다. 이런식으로 밀리게되는거죠. ) 잘 한다라는 생각이 들면, 일을 맡겨보고 싶고, 같이하고 싶고, 따르고싶고, 배우고싶습니다. 반대의 경우이면, 일을 맡기기 싫고, 같이하기 싫고, 따르기 싫고, 닮기 싫은거구요. 그리고, 이런 긍정적/부정적인 생각들이 쌓이게 되면 고과 시즌에 반영이 되겠죠. 저는 엔지니어링 회사에서 직급을 두가지로 나누려고 합니다. Staff 직급 이상: Field 인력을 위한 프로젝트/팀 매니징 Senior 직급: Filed에서 기술 전문성을 바탕으로 주 업무. 이번 글에서 다룰 내용은 Senior 직급에서 Leadership을 위한 기

반도체 회사소개: Groq ChatGPT보다 18배 빠르다? 반도체 엔지니어 시점에서 [내부링크]

최근 인공지능 반도체 씬에서 가장 핫한 회사가 있습니다. Groq입니다. 아래 영상 먼저 보시죠. 어떤 사람들은 이거 그냥 어그로다 / 실제 성능 이렇지 않다 / 양산 불가능한 설계구조다... 말이 많습니다. 아키텍쳐를 대강 보긴 했는데, 이거 일단 가장 핵심 부분은 HBM이 아니고 SRAM을 이용한 캐시메모리 구조이며, 여러개의 칩을 연결하여 확장하는 구조로 만들어져있습니다. Groq 회사 및 창립자 소개 Groq는 2021년 Google AI의 핵심 인공지능 기술을 기반으로 설립된 인공지능 칩 스타트업입니다. Groq의 설립자는 Jonathan Ross입니다. 주요 경력은 구글에서 SW, HW 엔지니어 경력입니다. SW 기술의 발전 속도가 HW의 발전 속도를 훨씬 앞지르고 있다는 문제점을 인지했고, 이러한 불균형은 인공지능 기술의 잠재력을 제한하고 미래의 혁신을 저해할 수 있다는 우려를 낳았습니다. 이러한 문제를 해결하기 위해 인공지능 칩 개발에 특화된 회사를 설립하게 되었습니다

굳이 비싼 한국인 엔지니어를 고용해야 할까? 한국 vs 인도 vs 베트남 엔지니어 #공대생 미래 [내부링크]

제 업무 분야는 EDA라는 분야입니다. Electronic Design Automation라는 "전자 설계 자동화"입니다. 최근에는 AI와 Data Science을 접목한 EDA 기술이 대세가 되고 있습니다. 제 개인적인 생각으론 완전히 인간을 대체 할 수 있는 "자동화 설계"는 나오지 않을 것 같습니다. 대신에 훨씬 더 적은 인력 + 더 짧은 개발 기간으로 -> 더 복잡하고 큰 칩을 설계 할 수 있게 될 것 같아요. 완벽히 모든 것을 자동화하는 것은 어려운데, 수 백명이 같이 일하던 일을 자동화 시켜서 엔지니어 1명이 "결과 확인하고" -> "다시 돌아갈지 or 계속 진행 할 지" 이런식으로 만드는 것은 가능해보이거든요. 실제로 Physical Design EDA Tool이 그런식으로 진화를 했구요. 앞으로 EDA 뿐만 아니라, 모든 것들이 자동화가 될 것이고, 매우 어려운 업무 <-> 자동화 되어, "Redo | Run" 2개 버튼만 누르는 업무.. 이렇게 둘로 양극화가 될 것

3D IC란? Advanced packaging이란? Interposer란? 3DIC란? 2D IC와 비교 시점에서. [내부링크]

소프트웨어 산업은 나날이 "단위시간 당 더 높은 데이터 처리량"을 요구합니다. 반도체 성능은 이것을 제대로 따라오지 못하고 있습니다. 무어의 법칙으로 대표되는 반도체 산업의 끊임없는 미세화 행진은 최근 몇 년 동안 상당한 어려움에 직면해 있습니다. 여기서 더 미세화를 하더라도, 기존의 2D 스케일링 접근 방식은 근본적인 물리적, 경제적 한계에 부딪히고 있습니다. 누설 전류, 전력 손실, 제조 복잡성은 더 이상 2D 스케일링으로 관리하기가 점점 더 어려워지고 있습니다. 이러한 상황에서 3D 집적 회로(3D-IC)는 같은 미세 공정 수준을 유지하더라도, 더 높은 성능을 만들 수 있는 대안이 되고 있습니다. 그리고 칩도 작게 나눠서 설계하여 양산 경제성도 극복 할 수 있구요. 2D에서 3D 반도체까지의 서사 설계적으로는 최대한 작업을 병렬적으로 처리 할 수 있도록, 하드웨어를 병렬적으로 설계 -> Chip area가 커짐. 평면적으로 커지는데, Physical layout에서 다양한 문제

VLSI / ASIC / Chip 설계의 핵심 파일 간단 정리 : Netlist, Library, Constraint 등.. def file [내부링크]

디지털 회로 설계에서 VLSI Design, 특히 ASIC Chip 설계를 위한 다양한 파일들이 있습니다. 많이 쓰이는 파일에 대해서 한 포스팅에 모두 담아보려고 합니다. 1. 그런데! 사실 각 하나의 파일을 모든 팹리스/파운드리/디자인하우스/칩리스 등이 다같이 사용 할 수 있는 Officially Standard 하는 것에 수십년의 세월이 걸렸습니다. 2. 이 백서들을 각각 열어보면 2~3000페이지가 됩니다. 가장 정확한 것은 그걸 보시면 됩니다. 제가 쓴건, 3~4줄로 요약한 내용이라 빠진 부분이 많습니다. 3. 제가 이 글에서 쓸 것은, 이 파일의 목적이 뭐다~ 이런거만 정리하려고 합니다. -> 그리고, 반도체는 가장 큰 현대 산업입니다. 한 사람이 모든 자료를 정복 할 시간이 없습니다. 그러니까, 필요한 부분만. 필요한 부분은 공식 문서로 찾아서 보세요. * EDA Tool에서 실행 할 때에는 확장자 이름은 상관은 없습니다. 그냥 식별하기 쉽도록 정리해둔겁니다. Verilo

반도체 설계 직군별 연봉 비교. Physcial design, RTL 디지털설계, 아날로그 설계 엔지니어 연봉 비교 [내부링크]

유럽 지역 반도체 설계 채용/구직 플랫폼으로 유명한 IC Resources에서 2024년 영국 및 유럽의 평균 연봉을 공개하였습니다. https://ic-resources.com/en/salary-guides Technology Salaries Technology Salary Guides - technology recruitment solutions for Software, Semiconductor, Electronics IT and Scientific ic-resources.com 일단 IC Resources에서 공개한 자료는 2024 영국 반도체 설계 직군별 연봉입니다. 웹사이트 들어가서 몇가지 요건을 거치면 유럽 지역으로 확장해서 보실 수도 있긴합니다. 일단 영국 -> 한국 돈 환율이 1만파운드 -> 1700만원 정도라고 보시면 됩니다. 설계직군이다보니.. 석박사 기준으로 BASE 연봉 데이터가 정리되어있습니다. 아무래도 산업특성상... Digital 쪽 엔지니어들의 직군이 B

Physical Design이란? Signoff란? [내부링크]

이 업계에서 일하시는 분들이 대부분 공감하시겠지만,,, 우리 부모님이 제가 무슨 일을 하는지 모르십니다. 이 분야 처음 투자하시거나 일을 시작하시는 분들은 더 어렵겠죠? 그래서 짧고 핵심만 추려 작성해보려고 합니다. 만약에 부모님이 물어보시면 그냥 "반도체의 Chip을 설계한다고" 한다고 하십쇼. 논리 회로를 공정 가능한 물리적 회로로 만든다... 이런식으로 말씀드리면 그 이후 오해가 쌓입니다. 저는 EDA 회사 다니고 있는데, 이걸 아직도 부모님한테 어떻게 설명해야하는지 잘 모르겠어서.. "반도체 설계할 때 쓰는 프로그램" 만든다고 합니다. 참고로 Synopsys는 Synthesis + optimization + System으로 만들어진 이름이에요. Physical Design이란? Chip Design을 물리적으로 구현하는 단계입니다. 그런데 Physical Design 내에 다양한 설계 및 검증 단계가 있습니다. 그래서 회사마다 부르는 명칭이 다르지만, 한국에선 Physical

반도체 및 공학 제품 성공을 위한 3가지 핵심 요소: Production flow를 중심으로. [내부링크]

반도체 설계는 수십년 동안 발전해왔고, 정말 비싸고, 납기가 정말 중요한 산업입니다. 그리고 SW를 위해서 반도체를 만들고, 반도체는 설계를 시작하면 양산까지 2년 정도의 기간이 걸리기 때문에, 2년후의 SW 시장을 예측 할 수 있어야 합니다. 제 블로그를 보시는 대부분 사람들이 반도체 Design flow를 보러 오시는 분들입니다. 사실 제가 더 유심히 보고 있는 Production flow입니다. Production flow란? 1. marketing requirement specification 2. Product Specification 3. Design 4. Fabrication 5. Demo application 6. Advertising & Promotion 7. Product in Market 이런식입니다. 제 블로그 대부분의 내용은 3번 내부인 ASIC Design Flow이구요. 사실 3번도 중요하지만, 우리가 제품을 만드는 이유는.. 소비자들을 위한겁니다. 소비자

오랫동안 같은 것을 꾸준히 하는법??? [내부링크]

현업에서 열심히 성과내고, 블로그에서 나를 알리다보면 쉽게 다양한 사람을 만난다. 겉에서 보면 그저 멋있기만한데, 이야기를 들어보면 꽤나 우여곡절이 많다. 몇가지 조언을 들었는데, 모든 방면에서 적용되는 것 같아 정리 겸 공유. 1. 긍정적인 면 찾기 회사든 학교든 사람이든 운동이든! 해야하는 것이라고 생각함에도 불구하고, 너무 하기 싫을 때가 있다. 내가 개인적으로 잘 볼 수 있는 곳 어딘가에 "나는 A가 왜 좋아!"를 확실히 정의해놓기. 나중에 A 하기 싫을 때 참고 할 수 있다. 주말 아침 일찍 일어나면 하루가 길다! 낮잠을 잘 수 있다. 아침 일찍 헬스장을 가면 사람도 없고, 헬스 끝나면 정말 뿌듯하다. 더 나은 사람이 된 것 같다. 운동 다녀와서 아침에 카페에서 책 읽거나 SNS만 해도 되게 재밌다! 이런 것들?? 운동이든 회사든 다 비슷하다. 좋아하는 점을 계속 상기시켜야함! 안 그러면 인간은 기억이 다 휘발되어서, 내가 지금 A를 왜 하고있는걸까... 현타만 옴. 내가

엔지니어가 좋은 비즈니스 대화를 하는 방법 [내부링크]

저는 비즈니스 대화에서 3가지가 중요하다고 생각합니다. 존중 명료 acknowledgement 혹은 Handshake (한국어로는 뭐인지 잘 모르겠는데, "보내주신 메세지 잘 받았습니다. 확인했습니다. 그렇게 하시면 됩니다.", "A를 요청하신 것 맞으시지요?", "보내주신 A로 처리하겠습니다~" 이런 반응을 주는 것이다.) 디지털 회로 설계에서 AMBA BUS에서도 ACK / Handshake가 있다. 사회화가 덜 되었거나 의사소통 능력이 부족한 경우, 회사 생활 적응이 어렵습니다. 근데 이런걸 누군가가 직접 말해주는 경우는 없다. 이런게 상처가 될 수 있으니까. 자기객관화가 안 되어있으면, 이걸 깨닫는 것에 수 년의 시간이 걸립니다. 메일/메세지 하나 쓰는데, 꽤 오랜 뜸을 뜰이고, 회의에서 나 혹은 상대가 이해를 못하고 있거나, 일 시작도 못했는데, 대화만 하다가 오전이 끝나있거나, 본인이 이런 말을 종종 듣는지 생각해볼 것. "그래서 어떤걸 하자고 하시는걸까요?" "이거 누구

안녕하세요 [내부링크]

우리 회사는 설날에 추가적으로 이틀. 그러니까 앞 뒷 날까지 추가적으로 더 쉬는 것을 권장한다. 나는 앞 날만 추가적으로 쉬었다. 그래서 남들보다 하루 일찍 집에 왔다. 귀성길 차도 안 막히고 좋았다! 앞으로 고향 갈 때마다 분위기 좋은 카페 하나씩은 꼭 가보려고 한다. 이 사진 찍은 곳은 경기도 김포시 통진읍의 “카페드 첼시”다. 솔직히 엄청 맛있는 것도 아니고, 플레이팅이 엄청 예쁜 것도 아니다. 근데 사진 찍기 좋아서 자주 간다. 인테리어가 좋다. 사진엔 안 담았지만, 카페에 예쁜 식기가 가득하다. 박물관 같음. 사장님이 취미로 카페를 운영하는 것 같다. 설 동안 공부도 좀 하고, 강아지랑 산책도 좀 하고, 틈틈히 일도 좀 하고~~~ 영어 시험도 봤다. 좋았다. 하고싶은 것만 한듯????? 집에 가면 어무니 도와 요리를 한당. 집에서 요리하면 있는 재료로 후다닥 하다보니까 별별 음식이 다 나온다. 아래 사진은 베이글&감바스 신용카드도 하나 새로 발급 받았다. 원래 쓰던게 올해까

반도체 Physical design engineer는 설계를 하는가? [내부링크]

현대의 Physical Design Engineer는 정말 Design 업무를 한다고 볼 수 있을까요? Digital engineer들은 진짜 Design을 할까요? 이야기를 열면서, 본론만큼 긴 서론을 먼저 써보겠습니다. 사실 이 글을 쓰는 이유는, AI의 발전으로 많은 일자리가 사라지고 있습니다. 많은 사람들이 "내 자리도 AI로 대체 될거야, 내 자리는 대체 안 될거야..." 하지만, 사실 현존하는 대부분의 일자리는..... "시간과 돈 문제"라고 봐요. https://youtu.be/pK3H3iATpGI?si=YtxwyelfA1mrjnPb 삼성의 창업주 이병철 회장님은 처음엔 (1) 신세계 그룹을 창립하고, 인구밀집지역에 신세계 백화점과 (2) 이마트를 만들었습니다. 전국에 백화점/마트 인프라를 구축했습니다. 그 다음 매출을 높이려면 뭐가 좋을까요? (3) 편의점 이마트24는 신세계그룹 소속이고, 편의점 CU는 BGF 그룹 내에 소속되어 있습니다. BGF의 회장님은 이건희 회

[루머] 삼성전자 엔비디아 비즈니스 종료 루머. 사실무근?? [내부링크]

아직 믿을 수 없는 루머입니다. 팩트체크가 필요합니다. 아시는 분들 댓글 부탁 드립니다. 블라인드, 나우톡, 증권가, 인터넷 커뮤니티를 중심으로 갑자기 떠도는 소문입니다. 루머 내용을 요약하면, 제품 및 비즈니스 신뢰도 문제로, D1* 제품 Fade out HBM3 비즈니스 중단 언급 https://m.news.nate.com/view/20240215n39486 [현장] "엔비디아, 삼성과 거래 사실상 종료" 루머 확산…삼성전자 강력 부인 : 네이트 뉴스 한눈에 보는 오늘 : 경제 - 뉴스 : [알파경제=이준현 기자] 최근 엔비디아가 삼성과의 거래를 끝내겠다는 루머가 증권 게시판을 통해 급속도로 퍼졌다.이에 대해 삼성전자는 알파경제에 “엔비디아와 불화설이 담긴 정체 불명의 글은 사실이 아니다”고 밝혔다. (사진=블라인드) (사진=블라인드) m.news.nate.com https://m.alphabiz.co.kr/news/view/1065565191498490 [단독] 삼성전자 “납품

Flatten Design이란? Hierarchical Design : Flat design, 계층적 디자인, runtime, vlsi, 회로 설계 [내부링크]

현대의 AI를 가동되는 칩은 손바닥보다도 작은 칩부터 시작한다는 것을 알고 계신가요? 이런 작은 칩에 트랜지스터는 엄청나게 많은 트랜지스터들이 들어갑니다. 그 수는 수백억 단위이구요. 너무 많은 경우의 수가 존재하다보니, 다양한 설계 검증을 할 때 엄청나게 큰 하드웨어 리소스 + 런타임이 필요합니다. Introduce the concept of design styles in VLSI Flatten design과 Hierarchical Design이라는 기본적인 개념이 수십년 동안 VLSI 업계에서 사용되고 있습니다. (지난 몇 년간에는 Flatten과 Hierarchical 방법 외에도 다양한 방법들이 출시되었습니다. Synopsys의 HyperScale, HyperGrid 등이 그런 예시이죠.) 어쨌든 여전히 Flatten design과 Hierarchical design이라는 두 디자인 기본 스타일이 VLSI 환경을 지배하고 있습니다. 겉보기에는 비슷해 보이지만 설계 효율성부터

안녕하세요 [내부링크]

블로그를 시작한 지 몇 년이 지났는데, 많은 분들과 소통할 수 있는 기회에 항상 감사하고 있습니다. 아시겠지만, 저는 "Very Large Scale Integration (VLSI)라는 분야에서 Official standard 기술"을 한국어로 포스팅하고 있습니다. 최근에는 메일이나 Linkedin으로 대기업의 사장님, 상무님, 코스닥 상장사의 대표님, 임원님, 교수님들 같은 업계 리더 분들로부터 "잘 보고 있습니다~~" 연락을 주시는 경우가 종종 생기네요. 같은 회사 사람들에게도 종종 Teams messenger로 보내주시는 분들이 계십니다.ㅋㅋ 물론, 이런 연락은 극히 일부이구요 ㅎㅎ 그래도 이런 연락들 받으면 하루가 즐겁습니다. 2024년 기준 일 방문자수는 500 내외, 일 조회수는 1,000 내외 나오는 작은 블로그임에도, 제가 가진 경험과 지식을 통해, "도움을 줄 수 있다, 우리 회사를 알릴 수 있다"는 점에 큰 보람을 느낍니다. 최근에는 제 조언과 면접 예상 질문을

내가 들으려고 만든 반도체 플레이리스트 [내부링크]

저는 유튜브로 음악 많이 듣는데, 이런 제목들이 많더라구요. "내가 들으려고 만든 만든 플레이리스트" 그래서 저도 제가 좋아하는 주제를 갖고 한 번 만들어 봤습니다. 양이 좀 많아서, 한 번에 다 듣기는 시간이 부족하실 것 같아요! 저는 혼자 산책 할 때 라디오 듣는 것처럼 듣습니당. 성균관대 권석준 교수님: 반도체 삼국지, 각국의 반도체 현황과 미래 2. 세미파이브 조명현 대표님: 무어의 법칙 종말, 맞춤형 반도체 설계 3. 리벨리온 박성현 대표님: AI 반도체 Chip (특히 HPC, High Performance Computing). Cloud/Server/DataCenter향 3.사피온 류수정 대표님: AI 반도체의 Infra와 맞춤형 저전력 반도체 4.NVIDIA 젠슨 황 대표님: GPU, 인공지능 5. AMD 리사 수 대표님: 차세대 반도체 6. OpenAI 샘 알트만 대표님: AI부터 Chip까지. SW~HW 전부. 좋아하시는 분들 계시면, 2탄도 만들어보고~~ 반응 없

AGI 반도체란? AGI란? 반도체 설계 엔지니어 시점에서 [내부링크]

반도체는 정말 테마에 따라 이름이 따라다니는 것 같습니다. 뉴로모픽 반도체, 뉴럴 반도체, 인공지능 반도체, AI 가속기 등... 다 "맞춤형 반도체" 범주 입니다. 2023년에 시장에선 NPU라고 많이 불렸습니다. AGI 반도체란? AGI반도체는 "AGI를 가장 효율적으로 사용할 수 있는 맞춤형 설계" 된 반도체입니다. 1) AGI(Artificial General Intelligence)는 사람 수준의 지능을 가진 인공지능입니다. 2) 소프트웨어는 반도체를 통해서 동작됩니다. 3) AGI처럼 엄청나게 복잡한 연산이 필요한 경우, 일반 반도체로 성능/전력 확보가 어렵습니다. 4) 범용 반도체는 OS도 booting하고~ 이미지 프로세싱도 할 수 있고~ 다양한 기능을 처리 할 수 있다면 5) 인공지능이라는 응용 분야에만 특화되도록 설계한 반도체입니다. 아래는 리벨리온의 박성현 대표님이 소개하는 "인공지능 반도체" 입니다. 가장 쉽게 설명을 잘 해주시는 것 같아 영상으로 남깁니다. A

엔지니어가 정리하는 2024 반도체 테마 총정리 2나노, 온디바이스, UCIe, 테라비트, RISC-V Serdes, CXL, HBM [내부링크]

반도체 업종 종사하시는 분들은 다들 아시겠지만, 2024년부터 2나노 공정 양산이 시작됩니다. 올해에 tsmc N2, intel 18A 공정이 발표 될 예정입니다. tsmc는 N3E와 함께 N2 공정도 순조롭게 개발되고 있다고합니다. 2nm 공정 예전에 얘기드렸던대로, 미세공정에서 가장 많은 시간이 걸리는 부분은 마스크에 빛을 쪼이는 "노광 공정"입니다. 아무리 다른 공정에서 효율성을 높였다고 해도, EUV 장비 없으면 2nm 하기 힘듭니다. 노광에서 다 밀릴겁니다. 중국이 7nm 공정까지 DUV를 했다하더라도, 캐논이 스탬핑 공정을 만들었다고 하더라도, 2nm 공정 하려면 ASML의 EUV 장비 필요합니다. #High-NA EUV 패키징 기술과 UCIe 과거엔 휴대폰이든 CCTV든 반도체 칩은 비교적 단순했습니다. 왜냐하면, 우리가 예전엔 폰으로 복잡한 작업을 하지 않았어요. 그런데 지금은 초고화질 동영상도 봐야하고, Computer Vision (스마트폰 사진 보정 App, CC

DFT는 왜 할까? Testability란? Controllability란? Observability란? [내부링크]

VLSI에서 불리는 DFT는 Design For Testability입니다. 제조된 칩의 테스트를 효율적으로 하기 위해 회로 설계 분야에서 사용되는 일련의 기술 및 방법론입니다. 이름처럼, design for.. TESTABILITY입니다!! Chip Test란? 1. 칩 공정을 하면 2. 아래 같은 ATE 장비에 각 Chip의 Input port, output port 연결하고 3. Input 값들을 넣었을 때 설계적으로 의도했던 Output 값이 나왔는지? 안 나왔는지를 비교해서 Chip의 Pass/Fail을 걸러내는 겁니다. 여기서 보는건 "칩 공정 중 발생한 결함이 있어 칩이 Fail"인 것인지?를 보는겁니다. 설계 이슈가 아니라, 공정에서 발생한 결함이 있는지요! DFT를 안 한다면? 그냥 Logic 설계를 하고, 칩 공정 후, 이 칩을 실제 Software onboarding도 하고~ 거기서 프로그램도 돌려보면서 Chip을 테스트 할 수 있어요. 그러나, 몇가지 문제가 생

CMOS2.0이란?, IMEC, - Dense pitch Cu hybrid bonding- Cu Hybrid bonding- dielectric bonding, Chiplet [내부링크]

이번 포스팅에선 CMOS 2.0에 대해 알아보겠습니다. 기술 혁신의 속도가 점점 빨라지면서 전자 기기에 대한 요구가 그 어느 때보다 다양하고 복잡한 시대로 접어들었습니다. 현대 전자제품의 기반이 되는 CMOS 반도체 기술을 중심으로 성장해왔습니다. 이 CMOS 반도체는 무어의법칙을 따라 2년마다 2배씩 집적도가 높아지며 발전해왔는데요. 1) 5nm, 4nm, 3nm 시대에 오면서... 이 가능은 한데, 이 공정의 수율이 너무 낮아 양산을 하면 대다수가 불량 칩이고, 이렇게 되면 결국 "미세공정의 비용 문제"가 발생합니다. 2) 어느순간 갑자기 AI가 일반인들에게 직접 보급되었습니다. 기업은 서로 더 좋은 AI를 만들고 싶은데, 이게 이론적으론 가능한데... 이 컴퓨팅을 받쳐줄 반도체가 없습니다. 3) AI 반도체의 전력 소모가 너무 큽니다. https://youtu.be/AGIwLrxnCVA?si=A0MpO8rs1jTW6Qqy -> 결국, CMOS에 혁신이 필요한 때가 되었습니다.

Layoff란? IT 회사는 해고를 어떤식으로 할까? [내부링크]

1) 회사에서는 성과를 내지 못하면, 한국에선 좌천되고 미국에선 퇴직 메일을 받습니다. 2) 많은 회사들이 Second chance, 그러니까 두번째 기회를 주지 않습니다. 3) 평가와 보상은 주기적으로 진행되지만, 패널티 부과는 어느날 갑자기 찾아옵니다. 회사에서 성과를 못내면, 순식간에 좌천되고 해고될 수 있음을 명심해야 합니다. 미국 빅테크 "트위터(현재 회사명은 X)"의 해고 메세지부터 보시죠. 내용을 요약하면 아래와 같습니다. (보통 이런 메세지, 메일, 미팅은 새벽부터 시작됩니다.) 안녕 이런 결정 내리기 어려웠는데, 오늘은 너의 마지막 근무일이야. 지금부터 회사에서 네 역할은 없어졌고, 너의 회사 접근 권한은 소멸되었어 너의 급여는 x월x일까지 나올거야. 컴퓨터와 뱃지는 반납해. 이번 주에 세부서류를 보낼게. 고마워. 여러분이든 여러분의 동료든 아무것도 안 하고 월급 받는 사람이 있을겁니다. 공짜 점심은 없습니다. 소수의 일탈으로 새어나가는 비용은, 모두가 분담해야하는

안녕하세요 [내부링크]

요즘은 블로그에 뭐 안 올리고 있는데, 궁금한 것 있으면 편하게 댓글 달아주세요 이웃님들. c 메일로도 하루도 빠짐 없이 진로상담, 산업동향, asic에서 특정 스텝 등 문의 오는데 이거 다 답장 드리고 있습니다. 할 때마다 도움 되었다는 말씀 한마디가 참 뿌듯하고,,, 이런것들이 다 언젠간 우리 회사에도 도움이 될거라 믿습니다. 그리고 여러분이랑도 친해지고싶어요. 요즘 너 그거는 어때? 이런걸 물어볼 수 있는 친구를 만들고 싶어요. 비밀댓글 좀 달아주세요!! 제가 이런 글을 쓰는 이유는, 여러분들 Chase 저 사람 뭐하는 사람인가 궁금해하는 것 같아서.. 종종 일상을 공유하려고 합니다. 저는 일기 쓰는 사람입니다. 기록이 미친놈처럼 보일 수도 있을 것 같아요 - 회사에선 사내위키에 연구노트 엄청 올리고, - 노션에선 오늘 할 일, 읽은 논문, 하고싶은것, 오늘의 실수록, 액션아이템 - 일기 앱에선 내가 뭘 할 때 행복했고 힘들었고 이런 것들 - 굿노트에선 책 보면서 필사를 합니다

Scan Compression이란?, EDT와 Codec이란? in DFT? [내부링크]

칩 제조공정 중 발생한 결함을 체크하는 방법으로 Design For Testability (DFT)라는 방법론이 있고, DFT의 방법론 중 하나로 SCAN이라는 방법론이 있습니다. SCAN은 높은 TEST Coverage를 출력하지만, Chain length가 길어지고, Chip Testing Time이 긴 편이라는 문제가 있는데요. 이런 점들을 해결해줄 수 있는 SCAN Compression에 대해서 보려고 합니다. (DFT와 SCAN에 대해서 모르시면, 그 부분부터 보셔야 이 글을 이해 하실 수 있습니다.) SCAN Compressor, 이 사진에 pipeline 등 Compressor에 4가지 기능이 추가되어있다... Scan Compression이란? Scan Compression은 SCAN Chain length와 I/O를 Compression(압축)하여 Testing time을 줄이는 DFT 기법입니다. 보통 SCAN은 아래 순서로 구현됩니다. Logic synthesis

DFT ATPG에서 Fault class란? Test coverage란? Fault coverage란? 계산식 [내부링크]

ATPG에서는 다양한 Fault들이 있습니다. Fault 중에 일부는 Design 특성상 검증 될 수 없는 Fault도 있고, 직접적으론 검증이 되지 않으나 간접적으로 검증이 되는 Fault들도 있습니다. 참고로 이 글을 이해하시려면, DFT와 ATPG에 대한 글을 읽고 오셔야 이해가 됩니다! Coverage란? DFT에서 Coverage를 쉽게 설명하면, 이 DFT 설계가 얼마나 많은 Fault를 찾아낼 수 있는가 입니다. 우리가 설계한 반도체들을 자율주행으로도 쓸거고, 의료용으로도 쓸거고 방산용으로도 쓸건데, 미세공정에서는 반도체 제조 공정 과정 사이에 엄청나게 다양한 이유들로 Fault들이 발생하죠. 라고 보시면 됩니다. 실제 양산 과제에서 Coverage를 도출하는 방법은 조금 더 복잡한 식으로 되어있습니다. Chipmaker 입장에서는 이 Fault을 모두 찾아낼 목표로 설계하는게 목표라서, 80%, 85%, 90%, 98%, 99%, 99.5% 이런식으로 스펙을 정합니다.

DFT: Wrapper Chain이란? INTEST란? EXTEST란? IEEE1500란? 대형 Chip을 위한 SoC 테스트 기법 [내부링크]

대표적인 DFT 기법은 SCAN Methodology입니다. DFT와 SCAN에 대해 알고 오셔야 이 글을 이해하실 수 있습니다. IEEE1500이란? - IEEE Std 1500은 임베디드 설계 블록에 대한 독립적인 모듈식 테스트 개발 및 테스트 애플리케이션을 위한 확장 가능한 아키텍처를 정의하고 이러한 코어를 둘러싼 외부 로직을 테스트할 수 있도록 지원합니다. - Module level test는 일반적으로 메모리와 같은 임베디드 블록과 사전 설계된 Integration 불가능한 임베디드 IP Core에 대한 Requirements입니다. - IEEE 1500 아키텍처는 큰 설계를 관리하기 쉬운 크기의 작은 블록으로 분할하고 하나의 시스템 온 칩(SoC) 설계에서 다음 설계로 재사용되는 블록에 대한 테스트 재사용을 용이하게 하는 데에도 사용할 수 있습니다. 참고로 다양한 IP에서 Wrapper를 위해 IEEE 1450.6 (Core Test Language, CTL)로 구현을 해

Open source EDA Tool: OpenROAD 설치 방법 [내부링크]

OpenROAD EDA Tool: RTL2GDS VLSI 사이드 프로젝트 하셔야죠? 아니면 VLSI Design 공부하고싶은데, 요즘 뜨는 기술도 접하면서 EDA 라이센스 없이 연구해보실 수 있는 툴입니다. RTL부터 GDS까지 가능합니다. 굳이 비교하자면 Synopsys사의 Fusion compiler 같은 느낌입니다. 산업현장에서는 Synopsys 등 EDA 3사가 자리잡은 이유들이 있지만... 그래도 무료인 것치고 훌륭합니다. OpenROAD를 작년에 맥북에 설치했었는데, 컴퓨터 사고나서 여기에서 다시 하려니 새롭네요;;;; 그래서 글로 정리해서 올립니다. 잘 안되면 댓글 달아주세요. 도와드리겠습니다. 제가 IT Team 직원은 아니지만.. Synopsys tool이랑 OpenROAD Tool, Yosys, SIEMENS, Cadence 등등 진짜 엄청 많이 삽질해봐서 감이 잡혔습니다. 이 분야 공부하시는 분들은 제가 했던 삽질을 반복하지 않길 바라고 있어서... 잘 안되면 댓

인공지능 반도체와, OpenAI의 목표, AGI란? [내부링크]

OpenAI는 Chat GPT를 연구개발한 회사의 이름입니다. OpenAI의 대표로 샘 올트먼(Sam Altman)이 있구요. 이 회사의 현재 목표는 AGI입니다. AGI란? AGI란 Arificial Generic Intelligence로, 직역하면 "인공 일반 지능"입니다. Human-level AI라고도 불립니다. 인간과 비슷한 학습능력 및 추론 능력을 가진 기계이다. 물론 기억 능력은 인간보다 훨씬 좋습니다. 이미 GPT는 엄청나게 똑똑한 것 같지만, 학습능력이나 추론능력에 대해선 인간보다 부족한 점이 많습니다. 그런데도 GPT는 세상을 빠르게 변화시키고 있어요. 이것보다 더 나아간 지능. 어쩌면 사람 이상의 학습/추론 능력을 가진 AGI. OpenAI는 이런걸 만들려고 합니다. 근데 SW는 컴퓨터 위에서 동작하는거고, 컴퓨터 내부에는 반도체 칩들이 있잖아요? 근데 반도체 설계 및 제조 능력이 현재 SW시장의 요구를 따라오고 있지 못합니다. 일론머스크는 지금 NVIDIA의 G

NVIDIA 미국 시총 3위 기업으로. [내부링크]

자기 전에 증권계좌에서 알림이 와서 보니 엔비디아의 신고가 알림이네요. 기존에 아마존이 시총 3위였는데, 엔비디아가 시총 2420조원을 찍으면서 3위를 탈환했습니다. 아침에 일어나면 달라질 수도.. 엔비디아는 여전히 GPU 분야에서 경쟁자 없이 업계 탑인데, 당분간은 계속 매출이 늘텐데… 대박이네요. 적정주가가 얼마인지는 모르겠지만..

첫 덴마크 여행: 크리스티아니아, 뉘하운, 휘게, 물가, 크리스마스 마켓 [내부링크]

덴마크 다녀왔습니다. 어땠냐구요? 앞으로 매년 크리스마스는 덴마크에서 보내려고 합니다. 여러분도 얼른 여유 만들어서 덴마크 가세요. 1) 자원도 없고, 빈부격차도 아주 작은 덴마크가 국민 평균 행복도 1~2위를 유지하는지 알 수 있습니다. 정말 스마트하고, 여유롭고, 예쁘고, 엄청나게 친절해요. (근데 행정처리가 한국처럼 시스템화가 잘 되어있진 않음) 2) 덴마크 사람들이 지금 대한민국에 대한 우호도가 엄청 높아요. 그리고 덴마크 사람들이 완전 외향적이라 이것저것 많이 챙겨줍니다. 3) 나이 먹고 가기는 체력적으로 힘들어요 참고로 덴마크는 독일 위에 위치한 북유럽 국가입니다. 대표 관광지는 "코펜하겐(쾨벤하운)"이라는 항구 도시입니다. 제 성격을 아시는 분들은 알겠지만, 덴마크 여행도 철저하게 계획 세워서 갔습니다. 이것도 엑셀로 만들긴했는데, 필요한 사람 있으려나? 카타르 여행에서 사용한 양식 그대로 썼습니다. 하루에 주요 도시+대학교에서만 2~30km씩 걸어서 걷는 것만 5일동안

첫 노르웨이 여행 후기: 경험과 후회로 정리하는 꿀팁, 공항에서 S55버스 타는법, 기차타는법 [내부링크]

덴마크에서 일정을 끝내고, 덴마크 코펜하겐 -> 노르웨이 베르겐->오슬로 일정을 하였습니다. 크리스마스 시즌 노르웨이 초행자가 있다면 이렇게 말하고싶습니다. 1. 노르웨이 여행사.. 어지간하면 사용하지 말고, 혼자 할 것. (1) 여행사 끼면 일단 가격이 1.5~2배 뜁니다. 이 가격에 투어가이드가 붙는 여행이면 괜찮은데요. 대부분이 투어가이드가 붙지도 않고, 그냥 기차, 버스, 크루즈 승차권 대리로 해주는거에요. 구매자는 티켓만 받는겁니다. 넛쉘투어 같은 경우, 여행사 안 끼고 혼자 하면 15~20만원이면 하는데, 저는 30만원 줬습니다. (정말 최악의 선택.... 나는 이렇게 하는게 더 안전한 여행이 될거라고 생각했는데..) (2) 문제가 발생했을 때, 여행사가 보험처리나 책임을 지냐? 좌석 지정을 할 수 있냐? 내가 크루즈를 못 타게 된다면 크루즈만 취소가 되냐? 그런거 없습니다. (3) 그러면 각자 기차, 버스, 크루즈 결제가 어렵냐? VY app 하나 설치 해서 결제해도 되

1.카타르행 티켓을 구매한다 [내부링크]

카타르에 왔습니다. 여기 사람들 왜 이렇게 한국 사람들한테 친절하지??? 일단 저는 종교가 없습니다. 친구가 법당 가자하면 법당 가고.. 성당 가자하면 성당 가고… 이번에 처음 모스크 가봤습니다. 어디든 가서 좋은 점만 배워 온다는 마인드 저는 카타르가 와하비즘 기반이라고 들어서 뭔가 무서웠는데, 1) 가자마자 크리스마스 트리를 봤고, 2)넷플릭스랑 스포티파이 탑10중 3개는 kpop, k drama 3)밖에 나가면 하루에 10번은 모르는 카타르 사람들이랑 사진 찍음. 지나가다보면 갑자기 안녕하세요~~ 웰컴투 까따르~~~ 하면서 같이 사진 찍었습니다. 나중에 알았는데 이 카타리 엄청 유명한 사람이었어요ㅋㅋㅋ 근데 같이 찍는건 이해가 가는데, 가끔 왜 셀피를 찍어달라하는건지ㅋㅋㅋㅋ 카타리들이 되게 조용한데, 조금만 다가가면 엄청 잘해줍니다. 다들 영어는 기본으로 하고, n개 국어 하는 사람이 많습니다. - Qatar에 원래 살던 사람들이 기득권이고, 전체 인구의 10% 정도라고 보면

[자동차반도체, Automotive] ISO 26262와 ASIL란? (Automotive Safety Integrity Level) [내부링크]

2010년~2020년까지의 반도체가 가장 많이 사용된 응용 분야는 "모바일"기기입니다. 세계에서 가장 큰 시가총액을 가진 기업도 Apple이고, iPhone에 납품되는 반도체도 엄청나게 많습니다. 다음으로 주목되는 응용분야는 "오토모티브(Automotive)"라고 불리는 자동차 시장입니다. 자율주행을 중심으로 엄청나게 복잡한 자동차 전자장치가 예상됩니다. 특히, 운전자가 없는 무인택시가 예상이 됩니다. 1) 사람들이 자동차에서 운전을 할 필요가 없으니, 이 시간 동안 "일하고, 쉬고, 놀 것"입니다. 2) 무인택시는 인건비가 필요 없고, 하루 종일 스스로 일 할 것입니다. 그렇다는 말은, 택시비가 엄청나게 저렴해지겠죠. -> 그런데, 자동차의 동작 환경은 매우 추울 수도, 매우 뜨거울 수도, 매우 오랫동안 운행 할 수도 있습니다. 공장에서 출고 할 때는 멀쩡했던 반도체가 사용자에게서 사용 되는 과정 중 결함이 발생한다면?????? 자율주행은 사람의 목숨을 쥐락펴락 할 수 있는데, 어

ECO란? Engineering Change Order. fix_timing_eco, DRC, Setup, Hold [내부링크]

ECO란, "제품 구현의 마지막 단계에서 수행되는 일부 변경 사항 적용하는 방법론"이라고 보시면 됩니다. 보통 ECO (이씨오)라고 부릅니다. 제품 개발을 하려면 여러가지 단계가 필요한데, 항상 아래 같은 상황이 발생하게 됩니다. 기능 수정이 필요한 경우 약간의 최적화가 부족하여 목표 성능을 도달 할 수 없는 경우 마지막 단계에서 약간의 수정이 필요한 경우 개발 첫 단계로 돌아가면 많은 시간과 돈이 필요하니까, 마지막 단계에서 약간의 수정만 거치는거죠. -> 이걸 ECO라고 합니다. ECO라는 단어는 VLSI, Chip design 분야에서 많이 사용합니다. 기능 수정이면 Functional ECO라고 부르고.. 스펙 맞추기 위한 수정이면 Timing ECO라고 부르고... 칩 공정의 후반단계인 BEOL단계에서 Metal layer 및 Routing만 수정하는 경우 Metal ECO라고도 부를 수 있죠. 어쨌든 ECO를 접미사로 붙입니다. Chip design은 아래 조건을 충족해야

VLSI Test: ATPG에서 Masking이란? STA Driven ATPG [내부링크]

양산 과제를 하면 보통 ATE 장비를 이용하여 칩 테스팅을 합니다. ATE 장비라 하면 아래 장비 같은 것을 떠올리시면 됩니다. Teradyne 장비가 유명합니다. 디지털 회로를 기준으로 설명하면, 디지털 논리 회로 설계 -> 공정 라이브러리를 통한 합성 -> DFT & ATPG -> P&R -> STA -> Tape-out 이런 흐름으로 진행 됩니다. DFT는 "Function 구현만 되어있는 Chip에, 높은 Test coverage로 테스팅이 가능하도록 설계를 한다"고 보시면 대략 맞겠습니다. ATPG는 Chip Test를 할 때 ATE 장비에 넣는 Vector를 생성하는 방법론입니다. ATE 장비에 넣고 테스트를 한다는게, Chip의 Input port에 어떤 값을 넣었을 때 어떤 값이 Output port에 나와야 Pass Chip이라는건데요. 그러려면 Test하는 동작 모드에서도 Timing close를 해야합니다. 근데 Test 동작 모드에 Timing close를 하다

Synopsys의 Ansys 인수 가능성. $35Billion (주당 약 $400) 시높시스의 앤시스 인수설 [내부링크]

Bloomberg, Reuters 통신에 따르면, Synopsys가 Ansys를 약 $35B에 인수하기 위한 사전 협상을 진행 중이라고 합니다. 2023년 12월부터 인수 입찰을 시작했다고합니다. 물리, 화학, 기계, 전자공학에서 설계 쪽으로 밥 먹고 사시는 분들은 다 아실 기업입니다. 참고로 앤시스라는 기업이 어러개 있는데, 여기서 제가 말하는 앤시스는 Nasdaq에 상장된 ANSYS(NASDAQ:ANSS)입니다. 참고로 Ansys는1970년 미국에서 설립되어, 물리, 화학 같은 기초 과학부터 전자공학, 항공우주, 자율주행 등... 여러가지 공학까지 시뮬레이션, CAD, CAE 분야에서 글로벌 선두 기업입니다. Synopsys는 디지털 반도체 분야에서 넓은 분야에 시장점유율을 꽉 잡고 있고, Ansys는 여러가지 과학, 공학 분야에서 높은 시장 점유율을 갖고 있습니다. 반도체 분야에서도 특정 세부 단계에서는 Golden Standard Tool 입니다. 공식 사이트를 확인해보니,

Betavolt Technology: 재충전 없이 50년동안 사용 할 수 있는 배터리 기술 개발 완료. Ni-63 베타 전지, UWBG, 베타전지 관련주 [내부링크]

반도체, 배터리 뉴스를 보면 "x0년 동안, x00년 동안 충전 없이 쓸 수 있는 배터리" 테마가 매년 나옵니다. 대부분이 "방사성 동위 원소"를 이용한 "Beta 전지"입니다. 중국에서 "15x15x5mm 크기의 BB100 배터리는 재충전 없이 50년 동안 100μW, 3V의 에너지를 안전하고 안정적으로, 섭씨 -60~120도에서 전기에너지를 출력 할 수 있는 기술 개발"을 했다고 합니다. 중국 베이징의 스타트업 Betavolt Technology입니다. 부정적인 면을 먼저 보면, 이렇게 낮은 전력으로 어떤 곳에 쓸 수 있을까? 100μW면, 100 * 10^-6입니다. 요즘 노트북 충전기가 100W에요. 10^6 그러니까, 1/1,000,000 비율인거죠. (스마트폰 충전기가 10W) 과연 50년 동안 전기 에너지가 나온다고 하면... 100~50%로 갈 때 전기에너지랑 50~0%로 갈 때 출력 되는 시간당 전력량이 똑같을까? 이 배터리에서 방출되는 방사선은 정말 안전한가? 이걸

IR Drop이란? PDN이란? Static IR Drop, Dynamic IR Drop, Power Integrity [내부링크]

반도체 Chip의 Power supply는 회로 전체에 Power domain(VDD와 VSS. 그러니까 High signal과 Low signal) metal layer(Vdd 및 Vss)를 통해 균일하게 분산되어 있습니다. 디지털 회로에서 인버터는 아래처럼 나타냅니다. 이 인버터를 디지털 엔지니어들이 조합해서 Chip 설계를 합니다. 이 인버터는 공정 회사에서 아날로그 엔지니어들이 설계 및 제조를 합니다. 인버터의 설계는 아래처럼 그립니다. 이 인버터에 Power supplier (VDD, VSS)의 Port가 있죠. 다시 Chip 설계로 돌아와서, 디지털 칩 설계에서도, Chip 설계에서 Power plan이라는 단계가 있습니다. 여기서부터 패드, 링, 스트랩, 레일, 매쉬 깔고. 이걸 PDN (Power Distributed Network)라고 부릅니다. 이 시그널들을 각 인버터 등 Cell들에 연결을 해주는거죠. 간단한 회로를 그려보면, 1) 위 그림에선 인버터가 마지막 P

Memory Wall이란? AI에서 하드웨어 병목 현상은 프로세서가 아닌 메모리 때문에 발생한다! 메모리병목현상 메모리월 메모리벽 메모리장벽 [내부링크]

반도체 공정이 미세화 될 수록 PPA 효율이 좋아진다는 대전제 아래에서, 공정회사들은 수십년 동안 반도체 집적도를 2년마다 2배씩 높여왔습니다. Transistor만 있는 시스템반도체와 달리, 좀 더 공정이 복잡한 메모리 반도체의 경우, 집적발전속도가 좀 더딥니다. 왜 PIM을 해야할까? 왜 CXL을 해야할까? 왜 HBM을 해야할까?!?!?! 여기서부터 글을 시작합니다! Memory wall이란? 메모리 반도체 부분에서 발생하는 병목현상을 말합니다. Memory wall이란, 1994년에 Wulf와 McKee로 이론화 된 내용입니다. "반도체의 발전속도가 현재 추세로 지속되면, 프로세서가 작업을 빨리 끝내도 메모리에서 정체되어 전체 칩 성능 개선이 더뎌질 것이다"라고 하였는데, 그 일이 실제로 발생하고 있습니다. AI, SW에서 요구량은 기하급수적으로 요구량이 커지고, 시스템 반도체도 무어의법칙에 맞춰 성능 개선이 되고 있지만, 메모리 반도체는 성능 개선속도가 가장 느리고, 이 부분

EU Chips Act란? (유럽칩법): 반도체 업계의 판도를 바꿀 것인가? [내부링크]

EU Chips Act란, 유럽의 Chips Act: 반도체 산업 생태계 재편, 유럽의 미래를 보장하기 위한 유럽연합의 입법 조항. (2023.09.21 qkfgy) 일단 아래 공식사이트를 기준으로 작성하였습니다. https://www.european-chips-act.com/ The European Chips Act - Regulation 2023/1781 The European Chips Act What is the European Chips Act? According to Article 1, the European Chips Act is a Regulation that establishes a framework for strengthening the semiconductor ecosystem in the EU, in particular through: (a) the establishment of the Chips for Europe Initiative (the ‘Initiati

카타르 여행 일정 추천: 공대, ESTJ, 엔지니어 여행 스타일 [내부링크]

제가 카타르 여행 간다고 하니까, "카타르라는 나라에 가는 것도 신기하고," "제가 뭐할지" 궁금해하시는 분들이 있더라구요. ESTJ (E, S, T는 90%나오고, J는 100%)입니다. 종종 너무 당당한 모습에 Dark Triad of personality가 생각나실 수도 있는데, 저는 마키에벨리즘, 나르시즘, 사이코패스와 아주 거리가 멀어요! 아무튼 공개합니다. 첨부파일 2023_Qatar_tour.xlsx 파일 다운로드 이번 여행 테마는 중동국가에 대한 경험입니다. 나중에 아부다비에서 F1 보고, 두바이에서 부르즈 할리파, 사우디 순례길투어도 가보고싶네요. 카타르를 제일 먼저 정한 가장 큰 이유!!!! 1) 카타르가 석유부국이고, 최근 월드컵+올림픽으로 항공산업+관광산업+교통시설을 많이 키우고 있습니다. 여행 가기가 너무 편해보여요 2) Kpop, Kdrama, Ktech 덕분에.. 카타리분들이 한국에 대한 인식이 매우 좋더라구요. 인종 차별이 아니라, 오히려 인종 우대를 받

삼성전자 파운드리, LSI 성과급 0%, 메모리 12.5% 책정 [내부링크]

대한민국 반도체 시장의 성과급은 흔히 “대감집”이라고 불리는 삼성전자의 성과급(PI, PS)를 따라갑니다. PI는 기본급(월급에서 퍼센티지), PS는 연봉에서 퍼센티지로 나옵니다. 12월 20일 삼성전자 PI, 즉 목표달성 장려금’(TAI·Target Achievement Incentive·옛 PI)이 발표되었습니다. 드디어 반도체 성과급에도 겨울이 왔네요. DS 부문은 올 1분기 영업손실 4조5800억원, 2분기 영업손실 4조3600억원, 3분기 영업손실 3조7500억원을 기록 올해 누적 적자가 12조6900억원 반도체 사업의 연간 적자가 12조원을 넘어선 건 창사 이래 처음이라고 합니다. 초과이익성과급’(OPI·Overall Performance Incentive·옛 PS)은 12월 말 공개 예정입니다. OPI역시 0%로 예상하는 의견이 많습니다. 미국 반도체 회사들이랑 대조적인 흐름으로 진행되네요. 날씨도 춥고 경제도 춥네요. 감기 조심하시구요. 연준에서 금리 인하 시그널도 보

첫 북경(베이징) 여행: 자금성, 천안문, 베이징덕, 딤섬 [내부링크]

공항에서 "请问~ Can you speak English?"하면 "No English!!"하고 가시는 분들이 많더라구요? 그리고 한국사람들은 비즈니스적인 친절함이 있는데, 중국에선 그런걸 느끼지 못했어요. 매우 사무적이었어요. 이런 것들 때문에 중국 부자 2세들이 한국에서 사는걸 좋아하는 것 같아요. 그런데 중국인을 친구로 만나면 다들 성격이 되게 좋아요. 뭔가 서비스마인드가 우리랑 다른듯? 대학생 때 중국어 조금 배우기도 했고, 간단한 회화 + 읽기는 가능해서 그래도 생존은 했습니다. 1) 북경오리랑 딤섬 먹고 2) 친구 만나고 3) 자금성, 천안문, 좀 걷고 끝. 덕분에 편하게 여행함~.~ 다 물어봄 다음 날은 호텔에서 대충 조식 먹고 백화점 구경했습니다. 이날 베이징 기온이 -20도이고, 사람들 밖에 너무 많고… 여행하기 편하진 않았어요 ㅜㅜ 근데... 너무 모든것들이 위챗, 알리페이를 통하게 되어있는 것 같아요. VISA, Master 같은 신용카드 되는 곳이 거의 없어요.

Big3 EDA란? EDA 시장 분석: Synopsys, Cadence 주식을 중심으로. [내부링크]

EDA(Electronic Design Automation, 이하 EDA)란, 전자제품을 설계 및 검증할 때 사용되는 Tool을 통칭합니다. EDA 혹은 EDA Tool이라고 부릅니다. 예전엔 엔지니어들이 머릿속 아이디어를 손으로 공식을 쓰면서 회로도를 그렸지만, 현재는 EDA라는 tool을 통해 반복작업을 줄이고, 복잡한 계산도 단숨에 할 수 있도록 바뀌었고, 인간이 만든 회로의 기능을 유지하면서 성능, 전력, 칩 크기에 대한 최적화에 대한 다양한 AI 알고리즘이 EDA에 입력되는 추세입니다. 인류가 돌을 집어든 순간부터 도구와 인연이 시작되었습니다. 얼마나 좋은 도구를 쓰냐에 따라 구석기, 신석기, 청동기시대가 갈립니다. 돌을 들어야 큰 짐승을 잡고, 곡식을 빨리 캘 간석기가 있어야 농경사회가 시작되는거죠. EDA Tool도 마찬가지입니다. EDA를 쓰냐 안쓰냐, 처음부터 다 설계를 해야하냐 재사용이 가능한 IP가 있냐, 어떤 기능이 있는 EDA를 쓰냐에 따라 인류 문명의 생산성

IMEC란? Microelectronics Centre란? [내부링크]

전자공학에서 미래 기술, 증권분석을 하려면 어떤 것들을 봐야할까요? IEEE와 IMEC입니다. Computer science까지 보려면 좀 더 볼게 많은데, 전자공학은 IEEE랑 IMEC만 봐도~~ 산업 들어가는 구조는 다 볼 수 있습니다. 세부 구현과정을 보려면 SNUG(Synopsys User Group)등 소속이 있어야 하구요. IMEC(Interuniversity Microelectronics Centre) 소개: 1984년에 "네덜란드, 벨기에, 프랑스" 3국의 지원으로 설립된 IMEC는 전자공학 분야에서 글로벌 레벨의 비영리 연구 허브입니다. 벨기에 루벤에 본사를 두고 있는 IMEC는 독립적인 연구 기관으로 운영되며 대학, 업계 파트너, 정부 기관과 협력하여 기술 혁신을 발전시키고 있습니다. 요약하면, "유럽에서 가장 큰 전기, 전자, 반도체공학 연구 허브"라고 보시면 됩니다. 네덜란드, 벨기에, 프랑스 3국 합작으로 만든 IMEC는 "시작은 마이크로 공정 수준의 마이크로

안녕하세요 [내부링크]

근묵자흑(近墨者黑) 먹을 가까이 하면 나도 검어진다는 말이다. 주변에 환경에 따라 인생이 바뀐다. 공부하는 사람 주위엔 공부하는 사람이 있을 것이고, 운동하는 사람 주위엔 운동하는 사람이 있을 것이고, 하루살이 하는 사람에겐 하루살이하는 사람이 있을 것이다. 열심히 일만 하는 사람 옆에선, 일만 할 것이다. 나이를 먹을 수록 자기가 살아온 인생을 중심으로 남들을 본다. MBA에 관심이 생긴다. 구글, 마이크로소프트와 내가 다니는 회사의 CEO 분들의 경력을 보니 인도에서 공대를 나오고, 미국으로 건너가서 석사학위를 받은 뒤 MBA 를 직장 다니면서 받았다. 저런분들이 다녔던 MBA에는 어떤 사람들이 있고 뭘 배우는지 직접 체험해보고싶다. 내가 구글, 마이크로소프트의 CEO가 못 되더라도, 최소한 "내 친구 마이크로소프트 CEO" ^^ 할 수 있을 것 같다. 진짜 많이 배울듯. 2. MBA 과정을 성실하게 보냈다면, 경영 능력이 보통 공대 출신보다 전문화 되어있는게 당연하다. + MB

전세계 GPT 현황 및 비교, NVIDIA GPU부터 인공지능반도체 NPU ASIC까지. [내부링크]

GPT부터 AI, GPU와 ASIC 반도체까지 살펴보려고 합니다. 어렵습니다. 근데 남들이 어려워하는걸 알아야 돈 벌잖아요? 시작합니다. 좋은 소프트웨어를 동작시키려면, 당연히 좋은 반도체가 있어야 할 수 있습니다. 수십년동안 트랜지스터 집적도가 2년마다 두 배씩 증가했습니다. (반도체의 발전) -> 이걸 "Moore's law, 무어의 법칙"이라고 부릅니다. 트랜지스터는 문을 여닫아서 신호가 나가고 못 나가게 할 수 있는 스위칭 소자입니다. (증폭 기능도 있는데, 여기선 다루지 않음.) 반도체 설계는 이 스위칭 성질을 이용해서, 간단한 덧셈기부터.. 복잡한 CPU까지 만드는거죠. 문을 작게 만들 수 있으니, 문을 여닫는 힘도 적게 들고 더 빨리 여닫을 수 있고, 집의 크기도 줄일 수 있게 되었죠. -> 이걸 "Dennard scaling"이라고 부릅니다. 반도체 Chip의 개념에선 Power, Performance, Area라고해서 PPA라고 부릅니다. 근데 무어의법칙이 수십년 지

EDA Tool(Synthesis, Place and Route)은 어떤식으로 회로 설계 최적화(Optimization)을 할까? 양산을 위한 반도체 설계 [내부링크]

양산을 하려면, ASIC이라는 반도체를 만들어야 합니다. 설계회사에서 RTL이라는 파일로 시작해서 GDS라는 파일로 끝납니다. 이 과정을 RTL2GDS라고 합니다. 이 GDS를 공장에 전달하면, 공장은 Design Rule Check를 하고, 마스크를 만듭니다. 설계회사들은 이 마무리 단계를 Tape out이라고 합니다. ASIC Flow는 Architecture Design -> RTL Design -> Logic Synthesis -> Place & Route -> Signoff 이런식으로 진행을 합니다. 최적화되지 않은 아키텍쳐 설계로 기능 동작만 구현하고, Logic synthesis와 Place & Route를 해봤자 Signoff를 넘어갈 수 없습니다. EDA Tool은 그냥 RTL Code를 GDS Code로 바꿔주는 Code2Code Tool일 뿐입니다. 1) RTL 디자인이 Physical에 대한 고려가 안 되어있으면 2) 공정 라이브러리의 Variation이 너무

온디바이스AI (Ondevice AI) AI란? AI Edge향 반도체부터 AIoT NPU ASIC 반도체까지. 온디바이스 vs 클라우드 시스템. 엔지니어 시점에서. [내부링크]

저는 산업현장에서 온디바이스 용 반도체 설계를 직접했기 때문에, 이것의 수요를 몸으로 느꼈습니다. 언제나 그랬듯, 논문과 기업 리서치를 중심으로 작성했습니다. 10년 전에는 IoT가 대세였고, 최근엔 온디바이스가 대세입니다. 일단 IoT랑 온디바이스랑 비슷한 개념인데, 온디바이스는 AI가 결합된, AIoT라고 보시면 됩니다. 삼성전자와 삼성협력사에서는 Ondevice라는 표현을 많이 쓰고, 미국에서는 Edge computing이나 Edge device, AIoT라는 표현을 더 많이 씁니다. 특히 Edge-Based AI Accelerator라는 단어를 많이 씁니다. 그렇기 때문에 IoT에 대해 먼저 알아보고, AIoT (온디바이스)란 무엇이고, 이걸 어떤 수요 때문에 만들어야하고, 이 Software를 구동시킬 Hardware는 어떤 것인지 알아보겠습니다. 온디바이스 소개 시작합니다. 다양한 용어가 나올 예정입니당. IoT란? (Internet of Things) 모든 사물이 인터넷

인메모리 컴퓨팅, 인메모리 반도체란? PIM이란? 왜 삼성 하이닉스는 시스템반도체에 투자할까? Processor In Memory와 In-Memory Computing [내부링크]

대한민국은 메모리 반도체 강국입니다. 근데 왜 수백조원을 써가면서 삼성전자, SK하이닉스는 어떻게든 시스템 반도체 연구를 하는걸까요? 시스템 반도체로 tsmc를 이길 수 있을 것 같아서? 인메모리 반도체와 함께 알아보겠습니다. 인메모리 컴퓨팅이란? In-Memory Computing 기존의 컴퓨터는 "데이터를 담는 메모리 반도체 Chip", 데이터를 연산하는 "프로세서 Chip"으로 따로 나누어 구성합니다. AI 반도체는 메모리 <-> 프로세서 왔다갔다하는 데이터 양이 엄청나게 많습니다. 현재 수준 반도체로는 메모리와 프로세서 간 왔다갔다 병목 현상이 발생하니까 HBM도 쓰고, CXL도 쓰면서 대역폭을 높이고 있는데요. 인메모리 컴퓨팅은 "Memory system 에서 보조 프로세서 역할까지 한다"라고 보시면 됩니다. PIM 반도체라고 불리는 Processor in Memory라고도 불리죠. 혹은 Processing in Memory요. 그림으로 도식화하여 표현하면, 기존 컴퓨팅 구

Synopsys Digital Design Family: Sign off편. PrimeTime란? PrimePower란? PrimeLib란? PrimeClosure란? [내부링크]

EDA Tool의 핵심은, - 최고의 PPA 효율 - 짧은 TAT - 얼마나 실제 칩으로 증명 되었는가 입니다. 저는 Synopsys EDA tool은 거의 다 켜보긴 한 것 같습니다. PrimeTime은 지난 몇 년간 24/7 썼고(본인 생각엔 Synopsys에서 제일 잘 만든 툴이 PT인 것 같아요. 빠르고 정확하고, UI도 편하고, 명령어도 직관적이고 PT라는 Product 쓸 때마다 감사합니다.c), 나머지 Tool은 Flow에 맞춰서 그때그때 사용했구요. 그래서! PrimeTime family가 속해있는 synopsys Signoff 제품들을 소개해보려고 합니다. EDA에서 광고협찬 받아보고싶네요. Synopsys가 스펙으로 공개해놓은 Datasheet, whitepaper로 아래 글 작성했습니다. https://www.synopsys.com/implementation-and-signoff/signoff.html Synopsys Design Signoff Synopsys d

나스닥 상장 반도체 회사 R&D 투자금 순위 (2022~2023년) [내부링크]

우선 자주 말하는 R&D Research와 Development가 무엇인지 얘기를 해보겠습니다. 연구는 과학의 한 학문이며, 기대 결과가 보장되지 않습니다. 개발은 제품 공학이며 항상 기대 결과에 도달해야 하는 학문입니다. 하나는 회사가 10년 후에 제품을 갖게 될 것인지를 알려주고, 다른 하나는 회사가 내년에 판매할 것인지를 알려줍니다. 아래는 2022~2023. 지난 1년간 R&D비용입니다. Intel 반도체 공정 기술: Intel은 2023년 7월에 18A 공정 기술을 발표했습니다. 18A 공정 기술은 기존 16nm 공정 기술 대비 성능을 40%, 전력 효율을 45% 향상시킬 것으로 예상됩니다. Intel은 2024년부터 18A 공정 기술을 기반으로 한 제품을 출시할 계획입니다. Memory solution : Intel은 2023년 1월에 3D XPoint 기반의 메모리인 Optane Memory HBM을 발표했습니다. Optane Memory HBM은 기존 메모리 대비 성능

양산을 위해 필요한 "반도체 설계에서의 품질경영방법론": DFM과 DFT, HTOL, TCT, HAST, THB, HTS, MSV, ESD, Latch-up Test [내부링크]

반도체 경쟁력은 PPA에 더불어, 품질경영이 중요하다고 볼 수 있습니다. 현재 삼성,tsmc가 사활 걸며 하고있는 3나노 반도체는 2003년에 첫 개발되었어요. 다만, 양산 가능한 품질, 수율, 경제성 확보에 20년이 걸린거죠. 품질경영을 위해 어떤 것을 설계에서 고려해야하고, 칩 테스트를 위해 어떤 설계를 해야하는지? 미국 팹리스들은 어떻게 하는지, 각 항목에 대해 소개를 할 계획입니다. 이번 글에는 어떤 것들이 있는지만 소개합니다. 품질표준 및 기준, 고려사항 ISO 9001 품질 인증 MTBF 값 JEDEC 표준 ISO 14001 환경 인증 IPC 표준 설계 방법론 DFM(Design For Methodology) 및 DFT(Design For Testability) 원칙을 활용하여 설계 레벨부터 공정 후 패키지된 제품의 품질과 안정성을 염두에 두고 제작합니다. 제품 검증 제품 검증에는 Pre-Silicon-Test와 Post-Silicon-Test를 시행합니다. Pre sil

SPICE란? SPICE Simulation이란? Synopsys HSPICE란? 몬테카를로 시뮬레이션이란? Thermal model이란? [내부링크]

HSPICE란, Synopsys사가 개발한 High-level Simulation Program with Integrated Circuit Emphasis 입니다. HSPICE에 대한 소개를 하면, 회로 시뮬레이션을 위한 업계의 Golden standard tool 성능적으로 모든 SPICE Tool 중 가장 우수하고, 가장 인기 있고, 가장 많은 설계도를 칩으로 만든 Foundry의 인증을 받은 제품입니다. 최초로 인증을 받았기도 했고, 미세공정으로 들어가는 첨단노드도 가장 먼저 파운드리의 지원을 받습니다. HSPICE 외에도, PSPice, ORCAD, LTSPICE, SIMetrix, Apctre, ADS, Eldo 등 경쟁 제품도 있습니다. HSPICE는 다음과 같은 기능을 제공합니다. 1. MOS Device algorithm 2. 아날로그/RF/Mixed Signal IC 설계, Cell & Memory Characterization 3. 칩/패키지/보드/Back-plai

공대생, 개발자, 엔지니어를 위한 Linkedin 사용법. 외국계 기업 꿀팁! [내부링크]

Linkedin이란? 산업 관련 SNS라고 보시면 됩니다. 페이스북이랑 UI가 비슷합니다. 우리나라, 중국만 거의 안 쓰는 것 같고, IT 선진국은 거의 다 활발하게 사용합니다. 크게 세가지 기능이 있다고 보시면 됩니다. 피드, 메세지, 채용공고 피드에 올라오는 내용은 아래 같은 내용이에요. 공부한걸 올리기도 하고~~ 일하다가 느낀 점을 올리기도 하고~~ 뭐 어쨌든 산업에 대한 내용 위주로 올립니다. Instagram, Facebook -> 개인사 Linkedin -> 일, 연구 등 피드는 내 1촌이 게시글 "추천, 공유"를 하면 나에게 그 추천된 글이 뜨는 시스템이에요. Linkedin은 프로들이 인더스트리에 대한 이야기를 하다보니까, 대부분의 Linkedin 사용자들은 "현업종사자"이고, 이를 찾는 "채용담당자"가 많이 존재합니다. 외국 회사에서 새로운 팀원을 모집한다? -> 자사 홈페이지 채용공고 게시 + Linkedin 채용공고 게시 + Linkedin 헤드헌팅을 사용합니다.

강남 갓생살이: 남자 프로필 헤어메이크업 이베르, 촬영 시현하다 본점 혜빈 기록가님. [내부링크]

"갓생"이라는 단어 아시나요? 긍정적인 것에 대해 부지런히 꾸준히 사는 삶입니다.(아침 독서, 열심히 일하고, 퇴근 후 운동 이런 것들이요.) 저는 성향적으로 "현실성에 바탕해서 논리적인 계획 세워서 단계적으로 진행하는 사람"이에요. "실행력이 좋다, 성실하다, 피드백이 빠르다"라는 말을 자주 듣는데, 이걸 제가 어떤 노력을 해서 하는게 아니라.. "당연히 해야하니까, 할 것 하는거에요" N년차에는 어떤 회사에서 어떤 경험을 쌓고, N+@년차에는 등기를 치고 N+@+@년차부터는 방에서 도장 찍는 업무를 하고... 이런 생각이 머리속에 있는거죠. 컴퓨터에 빗대면, 이런 것들을 "서비스"라고 부릅니다. 제 몸이라는 하드웨어에도 설계 이슈도 있고, Aging issue도 있습니다. 그래서 좋은 소프트웨어를 온보딩해야 위 서비스를 시간에 맞춰 진행 할 수 있습니다. 제 하드웨어 성능에 비해, 요구되는 서비스 스펙이 높기 때문에 "낮은 시간복잡도로 병렬작업"을 많이 해야합니다. 그래서 Cha

한국에서 반도체 스타트업을 상장하면 얼마를 벌 수 있을까? 가온칩스, 오픈엣지테크놀로지, 에이직랜드, 파두, 퀄리타스반도체 [내부링크]

2022~2023에 상장한 반도체 설계 스타트업의 "금융감독원 DART 전자공시"를 살펴보겠습니다. 아예 몰랐던 순수한 엔지니어라면... 근로소득과 종합소득이 다인줄 알았던 엔지니어라면... 이걸 보면, 정신이 좀 드실 수도? 대표자 및 특별관계자의 보유내역입니다. Google이나 Linkedin에서 성명+회사명 이렇게만 검색해도 그 사람이 어떤 회사의 어떤 팀, 어떤 직책인지 대강 나옵니다. 주가 * 보유 주 = 평가금 입니다. 대부분 ?만원대 주가이고, 대표님들은 ?,000,000주 정도 갖고 있습니다.. 1만원 * 1,000,000주 = 100억원이니까, 대강 이거 보고 계산하시면 됩니다. 가온칩스 2022.05 코스닥 상장 삼성전자 디자인 솔루션 파트너 오픈엣지테크놀로지 에이직랜드 파두 퀄리타스반도체 "아.. 이래서 그 똑똑하신 분이 그 좋은 곳 다니시다가 이 회사 가셨구나~" 싶지 않나요? 시간 날 때, 최근 코스닥에 상장된 반도체 스타트업들이 어떤 방식으로 상장을 했고~~

Liberty란?: Cell characterization, Timing arc, NLDM, CCS driver model, SmartScaling [내부링크]

Cell, Gate characterization이란? 회로 내 Cell의 물리적특성을 모델링하는 업계 Standard Cell 파일인 "Liberty" 라는 파일을 만드는 것을 말합니다. 여기서 말하는 물리적특성이란, 기능 | 속도 | 전력 | 면적 | 노이즈 등을 말합니다. Liberty는 주로 .lib 확장자로 저장하고, 압축한 형태는 .db파일로 저장합니다. Tool은 Synopsys사의 Primelib가 대표적입니다. Why Liberty? Delay calculation도, Power estimation도, Signal Integrity도... SPICE Tool으로 측정하는게 가장 정확합니다. 근데 문제는.. SPICE Tool이 너무 크고 무겁기에, VLSI를 하기는 런타임과 하드웨어 리소스 문제가 발생합니다. K-Factor는 미세공정에서 다소 Pessimistic하고, DCL을 쓰기엔 또 너무 무겁죠. 그래서 RTL2GDS Flow에는 뭐가 좋을까 Synopsys가

반도체 3나노 동향, 파운드리별 차이점, 미래 예측: GAA, FinFET, MBCFET, intel3, N3E 반도체 엔지니어 시점으로. [내부링크]

저는 아직 3nm 공정으로 양산해본 적은 없고, 그것보다 살짝 이전 공정들에서 반도체 개발을 했습니다. 수십년동안 효율성이 2년마다 2배씩 증가해온 산업이 반도체 외에 또 있을까 싶습니다. 반도체 공정 미세화는 "공정 스케일링"이라고 불립니다. 반도체의 성질을 사용해서, 신호를 제어하는 "문(Door)"을 만든게 디지털 회로인데요. 매년 "공정 스케일링"을 통해 문의 크기를 줄일 수 있으니, - 더 적은 전력으로 문을 여닫을 수 있고, - 더 빨리 문을 여 닫을 수 있게 되었습니다. 그러니까 우리가 태어나서부터 지금까지 휴대폰 성능이 매년 4~50%씩 빨라진거죠. 지금 양산 중인 공정 중 가장 선진공정이 "3nm 공정"입니다. 3나노미터 공정. 주로 "3나노공정"이라고 불립니다. *1nm는 1m/10억입니다. 현재 3nm 양산 진행 중인 회사는 삼성전자, 인텔, tsmc 세 회사입니다. 삼성전자 - 2022년 8월, 세계 최초로 3나노 공정 양산 시작 - GAA(Gate-All-Ar

이동통신 개념, 세대 구분 : FDMA, TDMA, CDMA, OFDMA 차이 [내부링크]

제가 학부 때 가장 좋아했던 과목은 암호학과 신호및시스템이었어요! 하지만 저는 현재 반도체 설계쪽에 몸담고있고, 반도체 전문 블로거니까 이런식으로 빌드업을 하려고합니다. 오늘은 MA에 대해서만~~ 암호학, 수학: 블록암호부터 암호 반도체 통신, 프로토콜 공학: 이동통신부터 통신 반도체 이번 글에서는 이동통신 기술에 대해서 알아볼 것입니다. 시간이 허락한다면 공정에 대한 이야기도 할 것인데, 아마도 통신프로토콜, 설계표준에 대해 이야기만 할 것 같습니다. 어렵게 안 쓸거니까 잘 봐주세요 ㅎㅎ 통신용 칩을 만들기 전, 용도 정의를 해야합니다. 1. Portability vs. Wireless/Nomadic vs. Mobility 2. Traffic Types (Sound vs Text vs Video) Focus : 속도 + 안정성 + 전력효율 + 작은 칩 사이즈 + 작은 하드웨어 + 무선 + 이동성 핵심요소: - Higher error rate - Frequency regulation

Static Simulation, Static Analysis. 여기서 말하는 Static이란?, static 뜻 feat. VLSI [내부링크]

복잡한 Simulation, Analysis를 하려면 엄청나게 많은 계산을 해야합니다. 엄청나게 많은 계산이 필요하면.. 엄청나게 많은 자원이 필요해요. 자원라는건, "사람", "하드웨어", "시간" 같은 것들입니다. 계산을 간단하게 만든다면 자원 소모가 줄겠죠. 현실에서 이뤄지는 것이 Dynamic이고.. 그중에 "요소"를 고려 대상에서 제외하여, 계산을 간단하게 만드는 것이 Static analysis라고 보시면 됩니다. 문제를 쪼개서 간단하게 만드는 방법 중 하나입니다. Divide & Conquer Static timing Analysis 요즘 SoC에는 수백 수천억개의 트랜지스터가 들어갑니다. 엄청나게 많은 트랜지스터들이 엮여있는데, 각 트랜지스터들이 동작하는게 바로 동작되지 않고, 어느정도 "Delay"를 갖고 동작해요. 기본적으로는, Dynamic simulation이라는 것을 하는데요. Circuit 정보가 담겨있는 Gate Level Netlist file과 Netl

반도체 뉴스 요약: 파두 하한가, 디스플레이, 차량용 반도체 팹 가동률 축소, RISC-V 발전 속도 [내부링크]

올해에 IPO 대어로 불리며 상장한 파두가 "SK 하이닉스와 거래가 끊어지게 됨." (Fadu는 SSD Controller 설계하는 Fabless이고, 시총 1조원이 넘는 유니콘기업이었다.) - 상장당시 증권신고서에 제출된 올해 연간 매출 예상치는 1202억원. - 그러나 공시된 2분기 매출은 5900만원에 영업 손실은 152억원. 3분기도 비슷함. 4분기를 비롯해서 앞으로는.. 단기적으로는.. 매출 만들기 쉽지 않은 상태. 인터넷 뉴스 뿐만 아니라, 방송에서도 나오고 있고... 파두에게도, IPO를 앞둔 회사들에게도 쉽지 않을듯함.. 월요일에도 장 상황이 좋지 않을듯. 2.차량용 반도체 "온세미" 가동률 축소/셧다운 검토, 중국 LCD 가동률 축소. 코로나 시기에 증산했던 양들이 재고로 쌓여가는듯? 3.KDI에서, "내년부터 반도체 중심 경기 완화" 시작 될 것으로 예상 중. 아마 메모리 반도체를 얘기하는 것 같음. 2021~2023년까지 NVIDIA랑 Synopsys만 매수했는데

tsmc 공정 정리 + 공정 별 핵심 기술 + tsmc 역사 및 연도 별 정리 - 반도체 엔지니어 시점에서 [내부링크]

반도체에서 Foundry란, 자체 설계 조직을 갖지 않고 "제조공정"만 맡아서 하는 회사입니다. tsmc는 세계에서 가장 큰 반도체 점유율을 갖고 있는 Foundry입니다. tsmc는 세계 최대 규모의 파운드리 기업으로, 애플, AMD, intel 등 글로벌 반도체 기업에 로직 칩을 공급하고 있습니다. TSMC는 끊임없는 기술 개발을 통해 선단 기술을 선도하고 있으며, 그 성과는 TSMC의 Logic technology roadmap을 통해 확인할 수 있습니다. 참고로, 2023년 11월 기준, 시총이 $5,000억 정도니까.. 6~700조원 정도 된다고 보면 되겠네요. (삼성전자는 400조, 하이닉스는 80조 정도입니다.) 아시아에서 가장 큰 시가총액을 가진 회사이기도 합니다. 컴퓨터나 스마트폰을 제외하더라도, 우리는 전자제품을 달고 사는데.. 거기 안에 있는 반도체 칩들중 절반 이상은 tsmc에서 제조되었다고 생각하면.. 얼마나 큰 회사인지 알 수 있죠. 위 사진은 tsmc의 창

차세대 반도체 노광 공정: 캐논(cannon)이 발표한 NIL(nanoimprint lithography)이란? [내부링크]

Cannon의 NIL은 기존 Photo-litography에 비해 여러 가지 장점을 제공하는 유망한 차세대 노광 기술입니다. 가장 큰 장점은 가격입니다. 캐논 측에선, ASML의 EUV 장비보다 1자리 수 정도는 더 저렴할 것이라고 하네요. 아무튼 EUV가 너무 비싸고, 지금 ASML 독점체제다보니... 대안 중 하나라고 보시면 됩니다. 자세하게 알아보기 전에 노광공정의 간단한 역사부터 살펴보고 NIL에 대해서 알아보겠습니다. 반도체 칩의 진화는 "무어의 법칙"과 관련이 있습니다. 현대 반도체에서 이러한 소형화의 핵심은 광원 파장의 단축과 소형화를 지원하는 Lithography 기술의 발전이었습니다. 참고로 리쏘그래피가 노광공정입니다. 얼마나 빛을 얇게 만들어서 회로도에 그림을 그리는지! 이게 관건이에요. 지금 3nm 양산하고 있고, 2nm 공정 가고 있는데.. 빛을 이렇게 얇게 만들기가 어렵습니다. 지금 사용하고 있는 노광공정이 얼마나 발전된 시스템이냐면.. 1990년대 초, i-

2023-11-14 반도체뉴스요약: 엔비디아 H200 출시, YMTC 특허소송, 독일 TSMC 팹 건설, 삼성전자 재고자산 증가, 삼성전자 ASML 지분 매각 [내부링크]

중국 최대 메모리 반도체 생산업체인 양쯔메모리테크놀로지가 미국의 마이크론 상대로 특허 소송을 제기하였습니다. 관련 품목은 96단, 128단, 176단, 232단 3차원(D) 낸드플래시 메모리입니다. 미중무역분쟁이 특허전쟁으로 이어지고 있네요. 2.독일에 TSMC 팹 건설. Bosch, NXP, Infineon 합작 예정. 3. 삼성전자, 하이닉스 재고자산이 계속 증가하고 있습니다. 반면에 영업이익은 개선 되고 있습니다. 4. 삼성전자가 ASML 지분 1.3조를 매각했습니다. 현재 보유 현금이 100조 정도이고, 이 돈을 어디에 사용하는지 지켜봐야 할 것 같습니다. 설비 투자? 회사 인수??? 5. 엔비디아에서 최신 AI 칩 H200을 공개했습니다. H100의 출력 속도 두 배라고 합니다. H100이 나왔을 때, 빅데이터, AI를 하는 회사들이 엄청나게 빠르게 발전했습니다. Chat GPT도 H100이 이용되었죠. 1만대 이상의 H100이 사용되었습니다. (참고로 현재 H100의 시장

곧 있을 연말정산을 위한, 원천징수, 연말정산, 소득공제, 세액공제 총정리 [내부링크]

세금은 여러가지 방식으로 거둬간다. 근데 국가가 각 사람들에게 너무 많이 거둬가도~ 적게 거둬가도 안되니까, 이런 방법들이 쓰인다. 각 사람들에게 "적정한 금액의 세금"을 거둬가려고 한다. 원천징수 보통 사람들이 원천소득이라고 하면, 1년치 혹은 1달치 급여명세서의 급여를 말한다. 원천징수라는 말자체는 매달 소득액에 따른 소득세+지방소득세 등으로.. 얼마를 세금으로 냈는가이다. 소득에 비례해서 소득세를 내기 때문에, 소득이 많을 수록 더 많은 세금을 내고, 소득액이 높을 수록 소득세 비율도 높아진다. 내 연봉이 2000만원이라면, 1200만원은 6% 800만원은 15% 세금 내는거다. 연말정산 - 4대보험에 가입되어 있는 급여근로자에게, - "원천징수에서 납부한 세금+이 사람의 1년간 돈의 흐름"을 분석해서, "이 사람이 내야 할 세금의 많고 적음" 판단한다. 많이 낸 경우, 세금을 돌려주고 적게 낸 경우, 세금을 추가로 징수한다. 적게 냈다는 말은, "이 사람의 소비가 적어서 부가

외국계 회사의 복지와 주식, 현금 보상 정리. ESPP란? ESOP란? CTC란? WLB란? YOE란? [내부링크]

Money Comp: Compensation의미인데 좀 모호한 부분이 존재한다. 그래서 보통 CTC로 말한다. Base: Base salary 계약서에 작성되어 있는 기본급. CTC: Cost to Company, 회사가 직원을 위해 지출하는 연간 비용 (연봉+보너스+주식+현금성 복지) TC: Total Compensation, 회사가 직원에게 주는 연간 비용(연봉+보너스) Employee Benefits: 퇴직금, 연금, 보험 등 Stock Stock Option: 조건 충족시 정해진 가격으로 정해진 수량의 주식을 매수할 수 있는 권리 -> 주기적으로 있는 것은 아니고, 어떤 이벤트가 있을 때 할 수 있다. 상장, 증자 등.. RSU: Restricted Stock Units, 조건 충족시, 주식 부여 -> 모두에게 주진 않고, 중요 역할 인력에게 부여. ESPP: Employee Stock Purchase Plan, 조건 충족시, 시세보다 저렴한 주가에 매수권 부여 나는 ESPP

엔지니어가 쓰는 "공대생 진로 추천, 성공적인 경력 구축 가이드" 전기공학, 전자공학, 반도체공학, 컴퓨터공학 공대생 위주로! [내부링크]

오늘은 전기, 전자, 컴퓨터, 반도체 공학에서 진로에 대해서 알아보려고 합니다. 제 블로그 연령, 성별 통계를 보면, 주로 "취업 준비"를 하는 사회초년생이 많더라구요. 제 지인들이 제 블로그를 보기에, 이런 글 쓰기가 참으로 부끄럽지만... 누군가에겐 도움이 되길 바라며 작성합니다. MBTI처럼 질문 몇 개로 여러분의 진로를 딱 정해주면 좋겠지만, 여러분이 대답하기가 어려울거에요. 왜냐하면 여러분들은 "내가 그거 좋아하나?? 안 해봤는데??" 이럴거잖아요. 이 글의 방향은 "전기/전자/컴퓨터 공대생들의 진로 소개 ~ VLSI 설계"로 이어집니다. 시작합니다. 사회에서 원하는 인재가 이런 T자형 혹은 π형 인재에요. 다양한 분야를 알면서, 특정 분야에 깊이 있는 인재입니다. 근데 제너럴해질 기회는 거의 대학교에서 끝난다고 보시면 됩니다. 대학원을 가거나, 회사에 가는 것은 특정 분야의 스페셜리스트가 되는거에요. 특히 우리 전기, 전자, 컴퓨터, 반도체인들은 할 수 있는게 진짜 많잖아

CXL이란, Computer express link DRAM : HPC 시대의 새로운 메모리 패러다임. [내부링크]

현재 DIMM 기술을 기반으로 한 메모리 대역폭 확장은 몇 년 안에 한계에 도달할 것으로 예상됩니다. 클라우드 서버에서 메모리 비용은 약 50%를 차지하지만, Over-provisioning으로 인한 비효율성은 너무 높습니다. -> DIMM의 시대는 저물고, PIM과 CXL의 시대가 옵니다. (#CXL관련주 -> 메모리반도체 회사인 삼성, 하이닉스, 마이크론 + 반도체 지적재산권 회사 + CXL 스타트업) 이게 왜 한계에 왔고, 왜 이게 중요한걸까요? 일단 HPC에 대해 알아야 합니다. HPC란? High Performance Computing HPC는 간단히 소개하면, 기업의 데이터센터 등에서 사용되는 최고 성능 컴퓨터라고 보시면 됩니다. 좀 더 자세히 들여다보면, HPC는 동시에 작동하는 강력한 프로세서 클러스터를 사용하여 방대한 다차원 데이터 세트(Big-data)를 처리하고 복잡한 문제를 빠르게 해결하는 기술입니다. 수십 년 동안 HPC 시스템 패러다임은 수백만 개의 프로세서

반도체 회사 별 직위 체계 비교 : 삼성 하이닉스 LG 한화 현대 [내부링크]

사원~주임 대리 과장~차장 부장 삼성 CL1-1 고졸 및 초대졸 사원 (평균 4년 후 진급) CL1-2 고졸 및 초대졸 사원 (평균 4년 후 진급) CL2 대졸 사원 (평균 8년 후 진급) CL3 ( 평균8년 후 진급) CL4 SK CL2 대졸 사원 (평균 4년 후 진급) CL3 (평균 4년 후 진) CL4 (평균 10년 후진급) CL5 LG 현대 연구원 책임연구 한화 연구원 선임연구원 책임연구원 수석연구원 Synopsys 64 65,66 67, 68 69 EDA 3사 비교 MAGA 비교 Meta, Netflix 비교 Fabless 비교

NVIDIA H200 스펙, 출시일, 핵심 기술. H100 vs H200의 Performance, power 비교 [내부링크]

NVIDIA는 2023년 11월 14일, Hopper 아키텍처 기반의 서버용 GPU인 H200을 발표했습니다. 출시예정일 : 2024년 2분기 현존 최강 GPU H200은 서버향 GPU로, Cloud & Data Center에서 AI Training 및 Inference에 사용될 것입니다. NVIDIA H200은 HBM3e를 제공하는 최초의 GPU입니다. NVIDIA H200은 4.8TB/seconds의 속도로 141GB의 메모리를 제공합니다. 이는 이전 제품인 NVIDIA A100에 비해 용량은 거의 두 배, 대역폭은 2.4배 더 커졌습니다. H100 vs H200 (Performance & Power) 가격 H200의 가격은 아직 공개되지 않았습니다. H100의 현재 시세가 $40,000정도입니다. 이번 H200의 핵심기술은 아래 2가지입니다. 1. HBM3e 일단 HBM3E를 할 수 있는 Foundry는 삼성전자, SK하이닉스, 마이크론. 3개의 회사입니다. 이 3개의 회사 모

분당셀프사진관, 성남셀프사진관 후기 [내부링크]

분당셀프사진관을 다녀왔습니다. 다음에 갈 때는 머리를 자르자… 하루필름 같은 조그만한 박스에 들어가서 사진은 많이 찍어봤는데, 스튜디오를 이용한 것은 처음이었어요! 왜 요즘 셀프사진관이 많아졌는지? + 셀프사진관 별 차이 + 분당셀프사진관 후기를 작성해보려고 합니다. 어느순간부터 번화가에 트렌디한 사진관이 정말 많아졌습니다. 왜 많아졌을까요? 사진관에는 10~20대들이 가득하죠. 포스트 코로나로 인해, "진짜 내 사람"과 아닌 사람들이 갈라졌습니다. 2023년의 10~20대들은 태어나자마자 싸이월드, 인스타그램, 페이스북, 틱톡을 하는 세대에요. 우리에게 "행복 기록"은 삶의 이유 중 하나에요. 그리고 우린 화려하고싶지 않지만, 적당히 특별하고 싶어요. SNS에도 올려야 하구요. 1."진짜 내 사람과 행복하고 아름다운 기록"을 남길 수 있고, 2.사진관 조명 덕분에 감성도 있고, 더 예쁘게 나오고, 3.기존 사진관보다 더 저렴하고, 모르는 사람과 대화하지 않아도 되다보니까~~ 셀프

Metastability와 Clock domain crossing(CDC)란, in vlsi [내부링크]

이 글에서는 Metastability와 Clock Domain Crossing의 개념을 알아보려고 합니다. 여기서 발생 할 수 있는 Timing violation을 피할 수 있는 HDL 설계, 그리고 이 설계에 대해서 어떤식으로 SDC를 작성하고 STA를 하면 되고~~ 어떤식으로 Place&Route 해야하는지 알고는 있지만, 블로그에 이런 코드는 안 올리려고 합니다. Metastability and Clock domain crossing를 아시기 전에, Digital 회로가 logical하게 physical하게 어떤식으로 동작하는지 알아야합니다. Flip flop의 기본 동작, setup/hold time 같은 것들이요! Metastability는 디지털 회로에서 신호에 신호의 correctness(한국어로 뭐라해야할지 모르겠는데.. 무결성?) 클럭 도메인 사이에서 데이터를 전달할 때 발생할 수 있습니다. 이 현상은 불안정한 상태로의 수렴으로, 데이터 비트가 잘못된 값으로 인식되는

VLSI 엔지니어 관련 직장 정리 / 디지털 회로 설계, ASIC, SoC, Physical Implementation, Physical Design 등 진로 [내부링크]

제가 전세계 반도체 회사들 소개를 하다보니, "국내 반도체 회사"도 소개 요청을 몇 번 받았는데요. "안 합니다!" 네이버에 검색해도 엄청 잘 나오는데 굳이 할 필요를 못 느끼겠어요. 네이버에 검색했을 때 잘 안 나오는 반도체 회사들을 중심으로 소개 할 예정입니다. 다만, 이 글에서 우리나라에 어떤 회사들이 있는지 정도 소개합니다. 우리나라 회사는 아니고, 우리나라 안에 있는 회사입니다. Analog쪽은 제가 직접 경험한게 아니라 옆에서 들은 것들이라, "디지털 회로 설계" 중심으로 글을 작성합니다. 짧게 작성하기 위해 군더더기를 다 뺐습니다. 이 회사들은 제가 쓴 글 보다 "더 많고 복잡한 일"을 합니다. VLSI 관련 많은 직장이 미국, 인도, 대만, 대한민국, 베트남에 있습니다. 이 글은 대한민국에 있는 회사를 중심으로 작성합니다. EDA vendor : (1) Cadence (2) SIEMENS (3) Synopsys 이 회사들은 반도체 회사들이 사용하는 EDA CAD too

[야탑역 미용실] 요즘 감성 '디오' 헤자이너님 후기 : 남성 다운펌 + 아이롱펌 #야탑역미용실 #야탑 미용실, #야탑동미용실 [내부링크]

안녕하세요, 야탑에 위치한 PAUSE 미용실의 "디오 헤어디자이너님"에게 시그니처 다운펌 + 아이롱펌을 받았습니다. 사실 제일 중요한게, 비포앤 애프터잖아요? 바로 보겠습니다. 이번에도 가일컷을 했슴다. (가일컷만 몇 년째 고수 중) 저는 몇 년 동안 가일컷을 받았으니, 수십 번의 가일컷 빅데이터가 쌓여있는데요. 디오 헤어디자이너님이 가장 "선"을 잘 다루는 것 같습니다. 군기 잡힌 오와열을 보는 것 같아서 깔끔하니 마음에 들었습니다. 앞머리는 평범하게 반갈 가일이었습니당. 예약 : 네이버 예약으로 가능하길래 네이버 예약으로 했어요. 파우제 야탑점 : 네이버 방문자리뷰 1,805 · 블로그리뷰 77 naver.me 요즘 감성 '디오'님에게 시술 받았습니다. 위치 : 위치는 경기 성남시 분당구 야탑로81번길 10 아미고타워 203-1호입니다. 야탑역 3번출구 앞, 성남고속터미널, NC백화점, 분당차병원 근처라고 보시면 됩니다. 파우제 야탑점 경기도 성남시 분당구 야탑로81번길 10 아

UPF란, (Unified Power Format) IEEE 1801, 저전력 반도체 설계 방법 in vlsi [내부링크]

수십년 전의 반도체는 "기능 동작"만 하면 성공이었는데, 요즘 반도체는 "속도도 빨라야하고, 작아야하고, 저전력이여야하고, 수율도 좋아야"합니다. UPF란, "반도체의 효율적인 전력 설계/검증/구현"을 위해 사용하는 방법론 혹은 언어라고 보시면 됩니다. IEEE Standard로, IEEE 1801에 있습니다. 디지털 논리 설계는 보통 "HDL"로 만듭니다. 아래처럼 input A, B output은 Cout, S로 두고~~ 어떤 "논리회로"를 연결한다고 표현이 되어있죠. HDL은 정말 논리 설계를 위한 언어에요. 이거로도 전력 최적화 회로를 설계 할 수 있지만, 제한이 많습니다. 초기에는 HDL만으로 설계를 했으니까요. 그래서 반도체 엔지니어들은 "전력에 대한 설계"를 작성 할 수 있는 방법이 필요했습니다. 다음은 논리설계/검증/구현 중에 UPF가 사용되는 위치를 보여주는 EDA flow입니다. (UPF Flow라고 검색하시면 각 EDA Tool vendor에서 더 자세한 흐름을

2023 반도체 업황 정리 #불황 #인력감축 #구조조정 #무급휴직 [내부링크]

2022~2023년은 반도체 산업의 불황기입니다.(아이러니하게도, NVIDIA는 이런 때에 최고 시가총액을 경신했습니다.) 2023년 초부터, 반도체 설계, 장비회사, 파운드리 업계에서 큰 영향을 미쳤으며, 많은 기업들이 인력 감축을 진행하고 있습니다. 대만의 반도체 대기업 tsmc는 2023년을 반도체 경기의 바닥으로 보고 있으며, 향후에는 회복될 것이라 예측하고 있습니다. 불황의 원인 NVIDIA 같은 회사는 AI라는 수요가 있지만, 대부분의 시장에선 수요가 줄었습니다. 수요가 왜 줄었냐? 크게 아래 3가지 원인으로 분석됩니다. 금리 인상, 반도체 순환 사이클 둘 다 수요/공급에 영향을 주는 지표들이고.. 항상 반도체는 호황기~불황기 왔다갔다 합니다. (1) 금리 인상 (2) 반도체 순환 사이클 (3) 무역분쟁 기업의 대응 인력 축소, 생산 라인 조정, 비용 절감, 새로운 시장 탐색, 그리고 기술 혁신이 포함됩니다. 폐업을 하는 경우도 많구요. 아래는 2023년 10월에 정리한

VLSI, 반도체, 설계자동화, EDA는 왜 할까? EDA의 구성요소 + EDA 관련 책 추천! [내부링크]

EDA(Electronic Design Automation)에 대해서 써보려고 합니다. 이 글에선 EDA를 왜 쓸까?에 대해서만 다루고, 앞으로 EDA 전반에 대해 다룰 예정입니다. 참고한 서적은 아래 서적입니다. EDA는 말 그대로, "전자 설계 자동화"입니다. 주로 위 책을 참고할거고~~ 내용이 없는 경우, 아래 책을 참고하고 있습니다. 전자 레벨에서 소프트웨어까지 단숨에 설명을 해보고, EDA에 대해 다시 알아보겠습니다. 반도체는 Band & Bond Theory.. 여러가지 물리/화학적 이유로 "전자기학적 현상"이 발생하는데요. 전자기학적 현상 중 "스위치와 증폭"기능이 있기 때문에.. 반도체를 사용합니다. (나무로 만든 트랜지스터도 있고, 여러가지 소재로 만든 트랜지스터가 있는데, 현대에 인간이 만든 트랜지스터 중 가장 가성비가 뛰어난 것은 실리콘으로 만든 반도체입니다.) 과학자들이 전자기학적 요소를 발견했습니다. 이것을 소자화한게 FET이라고 불리는 트랜지스터들입니다. I

Physical Design EDA에 사용되는 Algorithms + 왜 Hardware 설계가 어렵고 오래걸리는걸까? EDA 회사 주가는 왜 오를까? feat. synopsys [내부링크]

Fabrication을 에 사용될 Mask를 설계하기 위해, 많은 방법론들이 사용되었습니다. 현대 시장에서 가장 지배적인 방법은, "Hardware Description Lanugage 기반 Logical Design 후, Electronic Design Automation Tool을 이용한 Physical Design입니다." Physical Design: Code to Code의 Database 변환 과정입니다. Input: Loical Design code (HDL, SDC, Liberty 등) Output: Physical Design code (Liberty, NDM, GDS, OASIS 등) 간단한 코딩 작업처럼 보이지만, 엄청나게 많은 resource가 필요헙니다.(그냥 간단한거 하나만 할려고해도, 변수가 너무 많으니, 파일도 엄청 크고, 간단한 검증 하나 할려해도 작업 런타임이 몇 주가 걸림) "많은 트랜지스터" (Apple M1 Ultra Chip의 경우, 114B.

Design Partition과 Divide and Conquer [내부링크]

VLSI Partitionning은 복잡한 집적 회로를, 여러개의 하위 시스템으로 작게 분할하여 각각 완성하고, 마지막 단계에 하위 시스템을 통합하는 과정입니다. 이렇게 해서, 아래 이점을 볼 수 있습니다. 1. 탑 하나만 하면 복잡성이 너무 높을텐데, 나눔으로써 난이도를 낮추고 2. 여러 사람이 병렬적으로 설계/검증 할 수 있고 3. EDA Tool의 runtime을 줄임 단점으로는, 1. 설계 과정에.. Partition이라는 과정이 필요하고, 각 Subsystem들은 나중에 Top에 integration 될 준비를 미리 해야하고, TOP에 intergration을 했을 때 다양한 검증을 다시 해야합니다. 2. 버스 인터페이스 구조 설계 시간에 더 많은 시간을 써야 합니다. 3. Partition의 끝 단에 있는 Logic들이 단일 chip에 비해 Optimization이 잘 안 됩니다. Divide And rule, Divide and conquer는 고대 로마에서 영토 확장을

Apple M3 Silicon 설계 및 Tape-out 비용: $1B 지불 (한화 약 1.3조) [내부링크]

애플의 $1B 배팅(10억 달러, 한화 약 1.3조원) : M3 SoC 애플은 iPhone 15 Pro 시리즈에서 A17 프로세서를 사용했습니다. 이 Chip은 tsmc의 N3 (3nm 공정) 공정 기술을 처음으로 사용한 칩입니다. 이번 주에는 PC 중심 M3 Chip family를 tsmc N3 공정 라인업에 추가했습니다. Digits to Dollars의 Analyst Jay Goldberg은 회사가 M3-Family의 tape-out만으로 10억 달러를 투자했을 것으로 추정치를 발표하였습니다. M3 라인업 Apple의 M3-Family는 현재 세 가지로 구성되어 있습니다. M3: M3는 아이패드, 기본 모델 PC M3 Pro: 고급형 PC M3 Max: 하이엔드 PC Chip die 사이즈를 봤을 때 트랜지스터 개수는 M3 Max의 경우, 약 1000억개의 내외의 트랜지스터로 구성 될 것으로 예상됩니다. 3nm 공정 반도체의 가치평가는 Performance, Power, Are

Data storage: NAND, NAND Flash, SSD, SD/eMMC란? [내부링크]

드디어 NAND Flash, DRAM 가격 반등이 시작 되었습니다. HBM은 지난번에 살펴봤고, 오늘은 NAND 먼저 살펴보겠습니다. Data storage란, 디지털로 저장 되는 소중한 가족 사진, 컴퓨터를 구동하는 운영 체제, 산업과 경제에 원동력이 되는 방대한 양의 정보가 전자제품에 저장 됩니다. 한국어로는 정보 저장공간! 다양한 수요를 충족하기 위해 각기 다른 강점과 특징을 가진 다양한 기술과 솔루션이 등장했습니다. 이 글은, 각각이 어떤 특징이 있어 어떤 곳에 쓰이는지~~ 이런걸 알아볼 계획입니다. NAND Flash란, 21세기 대용량 Data Storage의 핵심인 낸드 플래시 메모리는 앞으로 내용을 이해하기 위해 꼭 필요한 부분입니다 . NAND Flash memory는 Cell 내의 전하를 이용하여 데이터를 저장합니다. 회로도는 위처럼 간단합니다.메모리 자체는 공정이 주요 포커스이고, 위 메모리를 제어 할 Controller IP의 경우 설계가 복잡합니다! 그리고 이

HPC란?(High Performance Computing) 반도체 엔지니어 시점에서 [내부링크]

제가 Tape-out 한 반도체 중 HPC도 몇 개 있었고, 최근 삼성전자가 미래먹거리로 "HPC와 오토모티브"를 언급했기에, HPC에 대해 쉽고 자세하게 작성해보려고 합니다. 좀 내용이 길더라도, 최대한 쉽게 작성해보려고 합니다. 공학적인 내용보다는, 왜 HPC인가? 에 대한 역사적 흐름에 대해 알아볼 계획입니다. HPC는 High Performance Computing의 약자로, 고성능 컴퓨팅이라는 뜻입니다. 과거엔 기상청에서나 슈퍼컴퓨터를 썼는데, 요즘은 GPT도 만들어야하고~~ 자기만의 AI도 있어야하고~~ 그러다보니, 컴퓨터 성능 경쟁이 엄청나게 커졌습니다. 그래서 HPC가 중요해졌구요. HPC, 고성능컴퓨팅이란? Google TPU Gen1의 아키텍쳐 고성능 컴퓨팅(High Performance Computing, HPC)은 클라우드, 서버용 컴퓨터, 슈퍼컴퓨터, 고성능 클러스터, 전문 하드웨어를 활용하여 복잡한 연산을 PC보다 훨씬 빠른 속도로 수행하는 패러다임입니다.

대학 전공 : 물리학, 전기공학, 전자공학, 반도체공학, 컴퓨터공학, 컴퓨터과학 차이를 IT 회사 직무 중심으로. [내부링크]

저는 학부와 대학원 전공을 전자공학으로 했습니다. 대학교 들어와서야, "아 A공학 말고 B공학 전공 할 걸, A 학교 말고 B 학교 갈걸" 이런 생각이 들더라구요. 곰곰히 생각해보면, 누구는 이런걸 이미 어렸을 때부터 알고 있었고, 저는 몰랐습니다. 현직 반도체 연구원이니, 누군가에게 도움이 되길 바라며 글을 써보려고 해요. 시작할게요. 전기, 전자, 컴퓨터공학이 발전하면서, 누구는 일자리를 잃고, 누구는 연봉을 올리고 있습니다. "전기로 구동되는 반도체들이 집적된 전자제품에서 다양한 소프트웨어로 자동화" 되고 있습니다. 창조와 예술의 영역도 요즘은 컴퓨터가 대신하고 있죠. 여전히 사람이 직접 일을하고 있는 분야들이 있는데, 그것은 자동화 구현하기 어려워서라기보단, 자동화 개발비용보다 사람을 쓰는게 싸니까 그렇게 하는겁니다. 지금은 유튜브 동영상 알고리즘 구현, 맞춤형 인터넷 광고, Chat GPT 같은 것을 만드는게 가장 수익성이 높으니 대기업들이 여기에만 몰두하고 있는거죠. 아닌

설계자동화란? EDA란? EDA/CAD 회사 (EDA Tool Company) 동향 정리 [내부링크]

반도체 산업은 전자, IT 등 첨단 산업의 근간입니다. 반도체는 기존의 첨단 기술이 모두 집약된 제품입니다. 공정, 장비, 재료 등 반도체 생산의 물리적 영역뿐만 아니라 "설계 및 검증"을 하려면, 사람이 도면을 그리는게 아니라, 설계와 검증을 위한 소프트웨가 사용됩니다. 반도체 설계 및 검증은 EDA / CAD로 대부분 자동화되었습니다. 글로벌 매출은 2020년 11조원에서 2027년 23조원으로 연평균 9.6%⁷씩 성장할 것으로 예상되는 등 시장도 성장하고 있습니다. 반도체 산업은 글로벌 기업들 사이에서 기술 및 투자 측면에서 경쟁이 치열한 분야입니다. 이 경쟁에서 가장 중요한 요소는 Time-To-Market과 Yield입니다. 얼마나 빨리 좋은 반도체를 설계하고, 양산에 성공하는지에 따라 제품 가격이 달라지고, 사용자들이 사용하는 App이 다릅니다. 10년 전만해도, 우리는 첫 스마트폰으로 카카오톡, 인터넷만했어요! 그런데 요즘은 유튜브, 쇼핑, 결제, 동영상 편집을 합니다.

IEEE 1687이란? IJTAG이란? [내부링크]

"Internal JTAG"의 줄임말인 IJTAG은 IEEE 1687 표준을 나타냅니다. IJTAG의 공식 명칭은 IEEE P1687 Standard for Access and Control of Instrumentation Embedded within a Semiconductor Device.입니다. IEEE P1687 표준인 IJTAG은 칩, 보드 및 시스템 레벨의 검증, 테스트 및 디버그 애플리케이션을 편리하게 하기 위해 씁니다. 반도체는 산업구조가 정말 복잡해서, 반도체 설계만 하는 회사 따로, 반도체 공정하는 회사 따로, 반도체 패키징하는 회사 따로, 반도체 테스트하는 회사 따로... 회사들이 다 따로 따로 있습니다. 근데 반도체 칩은 정말 다양하구요... 그래서 반도체 칩들의 테스트 방법을 하나로 통일성을 갖게 하고자 표준 규격을 만든거에요. 그러면 다른 회사랑 협업을 하더라도, 똑같은 장비 / 똑같은 사람 / 똑같은 방법으로 할 수 있겠죠. 이 IJTAG라는건 하나의 설

반도체 디지털 회로 설계 직무 정리 Frontend Backend, RTL, ASIC, FPGA, PI, PD, DT 등.. [내부링크]

반도체에서 디지털 회로설계 직무는 주로 Frontend와 Backend로 구분되며 여러 가지 하위 분야로 나뉩니다. 그런데 Frontend나 Backend나 다 회사마다 다르고, 사람마다 기준이 다르고, 대략 이렇구나~ 하시면 될 것 같습니다. 아래 사진들은 구글에서 Frontend Backend in vlsi라고 검색했을 때 나오는 사진들입니다. 위 그림에선, RTL 설계/검증까지 Front-end, 나머지는 Backend 위 그림에선 RTL을 Gate-level Netlist로 변환하고 Verification하는 것까지 Frontend 위 그림은 Synthesis + DFT(Design For Test)까지 Frontend네요. 국내에서 반도체 설계 Digital Design : RTL 설계 및 IP를 integration DV : RTL / IP를 검증 PI : RTL에서 Netlist로 설계/검증 PD : Netlist에서 GDS로 설계/검증 Foundation IP : St

Design for Manufacturing, DFM이란(제조 가능성 및 수율 향상을 위한 설계) [내부링크]

반도체 설계 과정을 요약하면, 아래처럼 진행됩니다. 아이디어 -> 디지털 논리 설계 -> 물리적 요소를 고려한, 최종 설계도를 설계하여 Fab에 전달 -> 웨이퍼 위 칩으로 공정. 공정 수준이 점점 더 미세화 되면서, "디지털 논리적으로 이상이 없어도, 물리적인 이유로 수율 이상이 발생"하게 됩니다. DFM이란, DFM(Design for Manufacturability)은 반도체 제조 공정에서 발생하는 여러가지 노이즈를 염두에 두고 집적 회로를 설계하는 것을 의미합니다. 이상적이지 않은 실제 칩 제조공정을 고려하여, 높은 수율의 Chip을 공정 할 수 있도록 하는 설계방법론입니다. 더 미세한 공정을 할 수록, 더 난이도가 높아지고.. 작은 노이즈에도, 작은 먼지에도 크게 영향을 받게 됩니다. 그래서 미세공정이 어렵고, 미세공정에서 수율이 안 나오고, 수율이 안 나오니 양산이 어렵고, 양산이 어려우니 Chip이 비싸지고.... 이렇게 비싸진 Chip은 주식, 경제, 정치에 영향을 끼

ATPG, Automatic Test Pattern Generation 소개: 반도체 제조공정에서 발생 할 수 있는 결함을 걸러내는 테스트 벡터 생성 방법론 [내부링크]

반도체 제조공정은 완벽하지 않기에 항상 결함이 있는 Chip이 있고, 불량과 양품을 거를 수 있는 기준이 필요합니다. ATPG, Automatic Test Pattern Generation. 한국어로 하면, 자동 테스트 패턴 생성으로 "반도체 입력 값 + 정상 반도체에서 나와야 할 출력 값"을 EDA Tool로 만듭니다. 결함이 있는 Chip이 의료 기기, 항공 우주, 방산, 자율 주행과 같은 중요한 시스템에 사용될 경우 발생할 수 있는 잠재적 결과를 상상해보면 끔찍합니다. 소비자에게 가기 전에 모든 공정 결함 칩들은 확인이 되어야합니다. 그런데, 최신 반도체 칩은 이 테스트라는게 쉽지 않습니다. 1) 나노 공정라는 단위에서 만들어지고, 2) 수십 수백 수천억 개의 Transistor로 이뤄지고, 3) 1ns (unit : nano)보다도 짧은 주파수로 동작하며, 4) 반도체 칩의 크기가 작고, Chip에서 사용 할 수 있는 Input, Output 개수가 많지 않으며, 5) SoC

반도체 산업의 성장과 전망 : 미국 반도체 생태계를 중심으로. [내부링크]

앞으로, 미국 반도체 생태계 분석을 위해, 전세계 반도체 기업을 시가총액 순서로 1위~50위까지 분석을 해보려고 합니다. 주가가 싸다 비싸다 이건 잘 모르겠고, "이 회사가 뭐 하는 회사인지? 이 회사가 다른 회사대비 경쟁력이 있는지? 왜 요즘 주가가 올랐는지? 떨어졌는지?"를 엔지니어 시점에서, 블로거답게 쉬운 글로 풀어써보려고합니다. 대신에 내용은 길 것 같아요. 쉽게 설명 할 것이긴한데, 빠뜨리는 부분은 없을거라서요. 일단, 반도체는.. 현대 모든 분야에 사용됩니다. AI, 5G, 자율주행, 스마트폰 등 모든 기술이 반도체에 의존합니다. 그리고 반도체 기술은 끊임없이 발전하고 있습니다. 미래에는 양자 컴퓨팅, 신소재 활용, 더 빠른 데이터 처리 등의 혁신이 예상됩니다. 이러한 기술들은 우리의 삶을 변화시킬 것입니다. 일단 반도체는, "무어의 법칙" 등 다양한 유명한 법칙들이 있는데요. 무어의 법칙이 뭐냐면, 반도체 트랜지스터 집적도가 2년마다 두배, 혹은 18개월마다 2배가 된

20+N번째 생일, 그리고 다음 목표 [내부링크]

1) 올해 목표였던, "네이버 엑스퍼트"는 결국 선정되었습니다. 이거로 반도체 강의 + 스크립트 언어 + 상담을 할 것 같습니다. 2024년에 시작 할 것 같아요. 컨텐츠는 다 생각해놨습니다. 내년 목표는 "네이버 인플루언서" 선정이에요. 2) 제가 회사에서 대표님께 기술 도서관을 지어달라고 요청했는데, 정말 지어주셨어요! 지금은 기술도서를 채워놓고 있습니다. 여기에 제가 채워놓는 책은 "제가 이미 다 봐서 남들이랑 같이 보고싶은 문서"입니다. 작년에 세웠던 올해 목표가 "VLSI관련 IEEE 문서들 훑어라도 보기" 였는데, 결국 거의 다 훑어라도 보긴 봤습니다. 그래서 정말 IEEE 문서를 다 보긴 했다??? 할 수 있을 것 같습니다. UPF, JTAG, IJTAG, SPEF는 처음부터 끝까지 봤고, Wrapper랑 STIL문서를 출력만 해두고 아직 다 못봤네요. 올해 가기 전에, 이것들도 다 보고... USB, Ethernet, PCIe 등 범용 IP에 대한 Standard 문서

20대 후반이 되어 호밀밭의 파수꾼을 다시 읽고. [내부링크]

10대, 20대, 30대 항상 다르게 읽히는 책이라고 불리는 명작소설입니다. 줄거리 요약 : 주인공 : 홀튼. 명문고 학생. 한창 중2병 걸려있는 나이. 1. 10대 청소년이 고리타분하고 보수적인 "공부만 강요하고, 내가 뭘 하고 싶든 무조건 선생님/부모님 말은 다 들어야하고, 속물 같고, 위선적인" 사회/학교/기숙사/주변 사람들에 질려버림. -> 반항아가 됨. 잦은 학교 규칙 위반으로, 학교에서 퇴학도 당함. 2. 학교를 나와 사회에서 다양한 경험 -> 다양한 자극을 받으며 점점 행복에 무뎌짐을 느끼고 + 먹고 살기 어렵다 + 나쁜 사람들을 만나고, 나도 나쁜 사람이 되고 + 삶의 부질 없음 = 결국 삶의 이유를 잃습니다. 3. 집에 돌아와 어린 여동생을 봤는데, "나의 순수했던 예전 기억 + 소소함에도 행복했었던 어렸을 적 기억"을 합니다. -> 작은 것에도 행복을 느끼는 순수를 되찾고, "갈림길에 있는 사람들이" 순수함을 잃지 않도록 호밀밭의 파수꾼이 되겠다고 결심했죠. 그리고

NVIDIA. 엔비디아가 1위인 이유, 그리고 시가총액 1조 달러를 넘을 수 있는 이유. [내부링크]

최근에 엔비디아의 주가가 1조 달러를 넘어섰습니다. 10년 동안 100배 올랐으니, 10년전에 100만원 샀으면..? 저는 반도체 설계/검증 쪽에서 일 하고 있는 엔지니어 입니다. 최대한 어렵지 않게, 쉽게 쉽게 써보겠습니다. 이 글은 엔비디아가 어떻게 시장 1위가 되었는지? 이고, 이후 포스팅에선 엔비디아가 가진 기술력에 대해 자세히 설명하려고 합니다. 예를들면 CUDA? 엔비디아는 왜 이렇게 잘 나갈까요? 아래 자료는 현대 AI에서 가장 잘 나가는 사람 중 한명인 앤드류 응의 프레젠테이션 일부입니다. 위 내용처럼, 정말 많은 것들이 AI로 구현되고, 이 AI는 하드웨어로 동작합니다. 여러분이 흔히 쓰는 유튜브의 영상 추천 알고리즘, 맞춤형 광고 알고리즘, Chat GPT, 사진 필터도 AI입니다. 세상에 엄청나게 많은 정보들은 데이터센터에 들어있습니다. AI는 기본적으로, "많은 데이터를 통해 배워서 모델을 만들고, 그 모델이 여러분의 응용 프로그램"이 됩니다. 과거 한국의 대기

최신 반도체 성능과 전력은 어떻게 표현할까? 그리고 좋은 반도체란 무엇이고 PPA란 무엇일까? TOPS, teraFLOPS 탑스, 테라플롭스, 저전력, 고성능, HPC 반도체 [내부링크]

PC, Game콘솔 뿐만 아니라, AI와 데이터센터에서도 엄청나게 많은 GPU가 사용됩니다. 어떤 반도체가 좋은건지 알고싶잖아요? 제가 일하고 있는 반도체 업계에선 PPA라고 불립니다. Performance(성능), Power(전력), Area(면적). PPA 효율이 좋은 반도체가 좋은 반도체입니다. 예를들어 저전력 반도체를 설계하면... 속도를 낮추던가, 저전력을 위한 특수 로직들을 추가해야 하기때문에... 성능 혹은 면적 효율이 떨어집니다. 그래서 PPA를 모두 만족하기 어려운데요. 현대에 가장 중요한 것은 성능과 전력입니다. 전력에 대해선 많이 설명해왔기에 짧게 소개하고, 성능 위주로 설명하겠습니다. 성능 위주로 보실 분들은 스크롤 쭉 내려주세요. 현재까지는 사람들 대부분이 반도체 전력 효율에 대해 관심이 없잖아요? 하지만 AI, 자율주행 시대에는 좀 달라질 수도 있을거라고 생각합니다. 아래는 Chat GPT의 GPT-3에서 질문 당 전력 소비를 가격으로 산출한 가격입니다. 2

저전력 반도체 설계 동향 [내부링크]

핸드폰, 노트북 등 최근 소형 휴대용 기기들은 고속, 다기능화되어 가는 추세로 많은 전력을 소모 한다. 한정된 배터리 용량에서 휴대시간을 늘리기 위해서는 저전력 SoC 설계는 고려해야 할 중요한 요소 중에 하나이다. SoC 전력 소비는 Dynamic Power와 Static Power로 구분된다. Dynamic power : Transistor의 출력 신호가 Dynamic 해질 때 (그러니까, 0->1, 1->0 등 신호가 변할 때) 발생하는 Power이다. Internal Power와 Wires power가 Dynamic power에 사용된다. SPICE Tool이나 PrimeTime PX, PrimePower 등... 잘 살펴보면 Internal power와 wire power가 있다... 이걸 합하면 Dynamic power이다. 좀 더 교과서적으로 설명하면, Dynamic power는 출력신호가 변경되는 경우 Transistor 동작 중 흐르는 전류에 의해 소비되는 전력으로

2023 Seoul, AI Talk with Andrew Ng(앤드류 응) 요약. [내부링크]

앤드류 응(Andrew Ng)은 "4대 AI 연구자"로 불리는 스탠포드 대학교 교수님입니다. 구글 딥브레인, Coursera에서 일도 하고,,, 회사 창립도 하고,,, 논문도 쓰시는 교수님인데.. 논문 인용수가 거의 2023년 기준 거의 30만회가 됩니다. 힌튼 교수님이 AI의 시작부터 현대까지 달리고있는.. 경력 50년의 대부님이라면, 앤드류 교수님은 21세기 시작부터 20년 된 한창인(?) AI 4대 과학자입니다. AI를 모르는 사람들을 위해 Supervised learing을 설명하면, Input과 Output을 알려줘서 AI(Model, Application)를 만들어나가는 과정임. 아래처럼. 아주 큰 양의 데이터 : 모델을 만들 수 있지만, 특이점 이전의 큰 데이터 : 모델을 만들 수 없음. 요즘 Generative AI는 prompt형식. (Chat GPT처럼.. 대화형으로 입출력을 받는 AI를 prompt라고 함.) 위에서 본 것처럼 전통적인 Supervised lear

강남 코엑스 아쿠아리움 후기, 롯데월드 vs 코엑스 가격비교, KKDAY [내부링크]

코엑스 아쿠아리움 다녀왔습니다. 예약은 네이버 쇼핑에 검색해보니.. 어린이 가격은 대부분 상이한데, 성인 가격은 22,000~23,000원 정도이더라구요. 가격이 15,000원이라고 뜨는 곳도 있는데, 카드 제휴할인이 필요해서… 스킵. 정가로 구매하면 25,000원이었던 것 같습니다. 저는 KKDAY라는 여행 플랫폼에서 쿠폰 사용하여 20,000원에 구매했습니다. 아래 링크에 KKDAY 초대장입니다. 해당 링크로 들어오시면 4,000원 정도의 마일리지 받으시고… 저는 600원 받습니다! 가격 비교 먼저 해보세요~~ KKday와 함께하는 첫 여행! ₩4,000 할인으로 더 즐거워질 거예요. KKday와 함께라면 여행의 즐거움과 감동이 더 깊어질 거예요. 지금 가입하면 ₩4,000 쿠폰을 드려요. m.kkday.com 아래는 아쿠아리움 다녀온 후기입니다. 2023년 동안 강남 코엑스, 송파 롯데월드, 여수 한화까지 세 곳의 아쿠아리움을 다녀왔는데요. 가장 최근에 지어진 여수 아쿠아리움이

On-Chip Variation OCV, POCV, AOCV와 Static Timing Analysis : 왜 Clock Frequency를 더 올리기 어려운걸까? [내부링크]

Clock이 active edge를 만들 때마다 Flip flop들은 신호를 처리합니다. Clock을 빠르게 하면 할 수록 신호 처리가 빨라지게 됩니다. 그러면 무한정 Clock frequency를 높이면 성능이 올라갈텐데, 이게 어려운 이유가 뭘까요? 어려운 이유는 집적회로 내에서의 Parameter variation입니다. Parameter varation이란.. 한 기계로 반도체를 만들어낸다고해도, 미세하게 차이가 발생합니다. 이런걸 공정 노이즈라고 하고, 온도 변화, 전압 변화, 빛, 자기장, 노화, 공정 중에 산화막 두께가 어느정도인지... 등등 다양한 물리적인 요인들로 반도체 물성 차이가 발생합니다. 저속의 반도체에선, 이런 노이즈들이 있어도 매우 작지만, 고성능 집적회로에서는 이미 Clock frequency를 최대한 높여놓은 것이기 때문에 이러한 Variation이 타이밍에 미치는 비율이 큽니다. Timing sign-off를 하기 위해 적당한 positive slac

Process In Memory(PIM) 기존의 메모리 구조 비교 및 개념 설명 [내부링크]

Process In Memory(PIM)는 기존의 컴퓨팅 구조와는 다른 새로운 접근 방식을 제공합니다. 기존의 컴퓨팅 모델은 데이터를 주 메모리에서 가져와서 중앙 처리 장치(CPU)에서 처리하는 방식을 취하는데, 이로 인해 데이터 이동과 데이터처리를 하며 병목 현상이 발생하여 성능을 제한하는 요인이 됩니다. 하지만 PIM은 이러한 문제를 극복하기 위해 프로세서와 메모리와 결합하여 데이터 처리를 동시에 처리하는 기술을 말합니다. 어떻게 더 빠른 Chip을 만들 것이냐.. 어떻게 대역폭을 늘릴 것이냐 고민을 하다 나온게 PIM이라는 것이죠. 기존 방식 : 컴퓨터와 사용자는 I/O로 인터페이스하고, 컴퓨터 내에 CPU가 memory와 인터페이스 했는데, Memory <-> Processor 간 왔다갔다 하는 시간이 굉장히 오래걸리고... 대부분 AI들이 이쪽에서 병목현상이 생긴다는 것을 발견함. 엄청나게 많은 데이터를 CPU까지 옮기는 것에 많은 clock이 쓰이고, 이것을 CPU 하나로

전자, 반도체에서 말하는 Port란? Pin이란? Port와 Pin의 차이 [내부링크]

회로에서의 Port란? 회로 설계에서의 "Port"는 신호가 들어가고 나오는 곳을 가리키는 것입니다. 반도체, HW 분야에서 있어서 포트(Port)란, 전기적 신호 데이터가 오가는 통로를 의미합니다. 즉, MCU로부터 외부로 노출 된 핀(Pin)들이 바로 포트가 될 수 있는 것입니다. 사용자가 누르는 마우스 클릭, 모니터로 받는 화면도 다 입출력에 관련된 것들입니다. 우리는 사실 컴퓨터를 쓰는 이유가.. I/O를 인터페이스 하면서, 특정 작업을 더 편리하고 정확하게 하고자 컴퓨터를 사용하는 것이죠. 각 Port는 일반적으로 데이터 라인, 제어 신호, 클럭 신호 등과 관련되며, 회로의 동작에 중요한 역할을 수행합니다. 회로를 설계하는 컴퓨터 언어 수준에서 본다면, 아래처럼 기술을 할 것 입니다. 현재 디자인은 DFF라는 module이고, 해당 input port는 D, CLK가 있고, Output으로는 Q가 있네요. Verilog HDL는 하드웨어 기술을 기술적으로 설명(Hardwar

JTAG란?(Joint Test Action Group) Standard, IEEE 1149.1 Standard Test Access Port and Boundary-Scan [내부링크]

JTAG은 디지털 회로 개발에서의 Chip Debugging, Chip Test, Chip Programming은 제품 품질 향상, 효율적인 개발 및 유지 보수를 위해 꼭 필요한 요소입니다. 이게 왜 필요하냐? 디지털회로가 엄청나게 복잡한데, "이 Chip이 설계는 멀쩡한데 공정 결함으로 Chip이 동작을 안 하는건지?" "Chip에 SW를 올리고 싶다면?" "Chip debugging을 하고싶다면?" -> 이런 이유들로 인해 JTAG이 필요해졌습니다. 항상 이상적이고, 아무런 실수와 공정 결함이 없고, 처음부터 완벽한 SW를 올릴 수 있다면, 사실 JTAG은 필요없지만.. 양산 Chip에 공정 결함이 있는지 테스트하고, Chip에 SW 올리고, Chip을 디버그 하려면 JTAG이라는게 필요합니다. 용어 정리부터 하면, 디버깅(Debugging): 소프트웨어와 하드웨어의 상호 작용에서 버그와 결함은 피할 수 없습니다. 디버깅은 이러한 문제를 해결하기 위한 핵심 작업으로, 디바이스 내

엄마, 내가 바퀴벌레가 되면 어떡할 거야? 프란츠카프카의 변신을 읽고. [내부링크]

요즘(2023년 3~4월)에 가족/연인 간에 핫한 질문이 있습니다. "xx아, 내가 바퀴벌레가 되면 어떡할 거야?" 포인트는, 이걸 질문하고 초롱초롱한 시선으로 물어보기. "xx아, 내가 바퀴벌레가 되면 어떡할 거야?" "너인 줄 알면 사랑하겠지" / "바퀴벌레는 혼자 할 수 없는 게 많으니, 어린 시절처럼 돌봐줄게" / "죽여야지" 등등 다양한 반응들이 있습니다. 바퀴벌레가 된다는 건 인간으로써 정말 힘든 일입니다. 먹고 사는 것에 대한 고민이 많은 MZ세대가 부모/친구/애인의 반응을 보고싶기 때문에 이런 유행이 빠르게 퍼져나간 것 같습니다. 정말 사람이 바퀴벌레가 될 일은 없겠지만.. 좋은 일자리를 구하지 못해서, 좋은 학교에 가지 못해서, 나쁜 일을 당해서, 건강이 나빠져서, 적성도 모르겠고 미래에 대한 불안감에... "나는 주변인들에게 어떤 존재일까? 잘 하고싶은데 미안하고 답답하다. 나는 가족에게 바퀴벌레 같은 존재인걸까" 이런 심정이 드는 MZ세대의 감정을 은유적으로+웃기

3분만에 설명하는 쿠팡파트너스 하는법. 쿠팡 제품 등록하는법. 개인, 사업자, 회원가입, 링크 등록, 추천인까지 [내부링크]

시간 크게 안 쓰면서, 블로그 활용하여 부가수입 만들 수 있는게 뭐가 있을까 찾아보니 '쿠팡파트너스'라는게 있네요. 상품 추천하고, 내가 만든 링크를 통해서 누군가 제품을 구매하면, 그 제품의 3%만큼을 준다는 것입니다. (1만원짜리 생수 팔리면 300원이고... 100만원짜리 노트북 팔리면 3만원이고... 여러개 팔리면 그만큼 더 준다는 것입니다.) 무튼 이런거 하면, 돈이 되든 안되든 좋은 경험이 될 것 같아 가입해봤습니다. 같이 부가수입 만들어보시죠. 아주 간단히 설명해드리겠습니다. 1. 아래 링크 통해 접속하거나, 구글에 "쿠팡파트너스"라고 검색합니다. Coupang Partners 쿠팡과 함께 수익을 창출해보세요 partners.coupang.com 2. 회원가입을 누릅니다. 3. 사업자 혹은 개인으로 선택하고 약관 동의 후 다음 버튼을 누릅니다. 4. 전화번호와 이메일 주소를 넣습니다. 추천인코드는 필수사항은 아니지만, 이걸 입력하면 1달간 신규가입자와 기가입자에게 추가

XBOX Game pass. 월 7000원~12000원으로 게임 뷔페를 즐길 수 있다? [내부링크]

요즘 할건 많고, 나가긴 귀찮고, 돈 쓰는 것도 아까웠는데.. XBOX Game pass라는 구독방식으로 수 백개의 콘솔 게임을 즐길 수 있더라구요. 한국에 인기 있는 게임들이 자극적이고, 욕설 난무하고, 과금성, 시간 많이 써야하다보니 질려버렸는데,,,, 콘솔게임에서 다시 재미를 찾았습니다. 동물의숲도 재밌게 했었는데, 시간을 엄청 써야하다보니 요즘은 안하게되었고... 주말안에 엔딩을 볼 수 있는 게임 + 친구들이랑 같이 할 수 있는 게임 + 스트레스 없이 순수 재미. 이런 게임을 찾고 싶었는데, 이런 게임이 콘솔게임이네요. PS5는 사고싶긴한데, 제겐 너무 비싸고 자주 할 것 같지도 않고..ㅎㅎ PC로 할 수 있는 콘솔은 엑스박스가 제일 편한 것 같습니다. 일단 엑스박스 게임 패스 구독방법부터 알려드리겠습니다. 아래링크로 이동하세요. Game pass는 기본이 있고, Ultimate가 있는데, Ultimate로 해야 훨씬 많은 종류의 게임을 즐길 수 있습니다. Xbox Game

"타인은 지옥이다? 나도 타인에게 지옥일까?"사르트르의 No exit을 읽고. [내부링크]

"나는 누구인가?" "나는 어떻게 살아가야 할 것인가?" "타인들과 어떻게 관계를 만들어야 할 것인가?" "타인은 지옥이다." - 사르트르 출구없는 방에서 나온 말입니다. 이 문장으로 만들어진 웹툰, 드라마, 연극도 있는 정말 유명한 작품이에요. 이 책의 글쓴이인 사르트르 : 실존주의 철학의 대가. (노벨상을 안 받겠다고 3번이나 거절+불참) 여러가지로 신기하고 쿨하고 논란도 많은 사람입니다. 책의 등장인물 : 죄를 저지른 일면식 없는 3명의 사람 장소 : 출구가 없고, 꺼지지 않는 매우 밝은 조명과 의자만 있는 작은 방. 줄거리 : 3명의 사람이 큰 죄를 저지르고 작은 방에 가둬지게 되었다. 이 3명의 사람은 생각보다 좋은 감옥에 만족한다. 3명이 모여 왜 죄를 저지를 수밖에 없었는지를 얘기한다. 그러나, "이렇게 했으면 된거 아니에요?" "당연히 그건 상대방이 거짓말한거죠" "아~ 네~" 등등 서로를 무시하고.. 작은 갈등이 생기며 관계가 꼬이게됩니다. 각자 이런 생각을 했습니다

[Computer Vision / Deep learning] Multiclass Classification with MNIST Dataset [내부링크]

Computer Vision의 기초,,, Deep Learning의 기초를 다지기 위해 Multiclass Classification에 대해 공부해보려고 합니다. MNIST Dataset은 Machine Learning 분야에서 많이 사용되는 데이터 중 하나입니다. 이 데이터는 손으로 쓴 숫자 이미지의 집합으로 구성되어 있습니다. 각 이미지는 28x28 픽셀(dot가 가로 28, 세로 28개) 크기로 구성되며, 이 이미지는 0부터 9까지의 숫자 중 하나를 나타냅니다. 위키피디아 피셜로는 CNN으로 만들어진 MNIST model들이 가장 Error rate가 낮네요. 우리는 정말 개념만 살펴볼거라, 1) 1pixel nosie 섞어서 5x5를 하나의 이미지로 50개의 Data 생성하고. 예를들어 noise 없는 5는 아래처럼 이미지가 그려집니다. 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0 1 1 1 1 1 0 2) 5x5 pixel로 30개 데이터셋으로 Tra

AI의 미래 [내부링크]

AI의 대부님인 Hinton 교수님.. 구글 대표, 딥마인드 대표가 말하는 AI의 미래. (2023년 기준) Image recognition은 아직도 갈 길이 멀고, Speach recognition은 이미 인간을 넘어선 수준이고.. Natural language는 Chat GPT를 통해 증명되고 있다.... AI, Deep learning, CNN, GNN, ReLU 등 리마인드 좀 해야할덧.... 인공지능 안 끼는 곳이 없을거다...

[반도체재료공학] 나무로 만도체를 만들었다? 반도체의 재료로는 뭐가 쓰일까. Bioelectronics [내부링크]

지하철에서 심심하여 논문이나 읽던 도중 재밌는 논문 주제를 봤습니다. Plant bioelectronics라는 주제이고... 제 글의 주제는 반도체 재료로 왜 실리콘이 제격인가? 에 대한 내용입니다 https://pubs.acs.org/doi/10.1021/acs.chemrev.1c00525?fbclid=IwAR2eXj_6jNNjah31xfc2sDkfx4DvLTBHnmsFB3ShFfiHus_I2-uGJxLRdYY 예전에 학교 다닐 때, 언젠가 반도체를 단순 4족, 35족, 26족 반도체가 아닌 몸에 넣어놓고 전원이 공급되는 바이오 소자를 만들거라고 들었는데 현실이 되었네요. eleni라는 사람이 이 연구분야를 개척한 것으로 보입니다. 왜 실리콘을 쓸까? 순수한 실리콘은 0K에서 1.2eV라는 도체보단 크지만, 일반적인 부도체보단 작은band gap을 갖습니다. 실리콘은 산소와 반응하면 전기를 잘 통하지 않는 산화규소가 됩니다. 실리콘은 4족 원소이고, BCC 구조를 가져, 불순물을

[작전명 프라미스] 2023년 수단 철수 작전, 왜 C-130J와 KC-330이 쓰였을까? 15특수임무비행단 전역자가 쓰는 글 [내부링크]

2023년, 수단 내전이 발생하였습니다. 근데 뉴스를 잘 보니.. 제가 복무했던 "15특수임무비행단(서울공항)"이 나오더라구요. 이미 다양한 매스컴에서 프라미스 작전에 대해 많이 소개가 되어, 해당 작전에 대한 자세한 타임라인은 스킵하고, "왜 C-130J, KC-330이 쓰였을까?"에 대해 제 경험과 생각을 중심으로 정리해보겠습니다. 저는 공군교육사에서 높은 성적 받아 제15특수임무비행단(서울공항)에 배치받았고, 실제 비행 작전 경험(침몰 선박 조난자 수색 작전)도 있어 이 작전에 특별히 큰 관심이 갔습니다. 수단의 수도인 "하르툼"을 포함한 수단 전역의 도시에서 공습, 포격, 총격전이 발생하였습니다. 대통령궁, 병원, 공항, 군부대, 학교, 주거단지가 붕괴되고.. 인터넷과 통화, 문자 같은 통신은 마비가 되었습니다. 일반적으로 우리가 알고있던 아프리카 내전은 "AK 소총 들고 게릴라전 하는..." 그런 것들인데, 2023 수단 내전은 그렇지 않습니다. 종교 + 원유 + 광산 채굴

결국 걸려버렸다 [내부링크]

회사에서 직무능력평가를 봤다. 상위 성적 받아서 선물을 받았다. 매뉴얼을 더 꼼꼼히 봐야겠다. 아픈건 아픈거고, 할건 해야하니 원래 만들던 브이로그는 이번주만 블로그 일기로 대체 노션 템플릿 수정 relu 함수, 코딩스타일 관련해서 공부 좀 해보고 유튜브로 요가와 명상 배웠다. 회사 가서 일하는게 편한데 강제 재택해야하니 마음이 좋지 않네. 할 건 산더미인데... 그래도 재택이 가능한 환경임에 감사

파일의 입출력 과정은 정확히 어떤식으로 되는걸까? fclose를 해야하는 이유? OS, Kernel, assemby, 컴퓨터구조 개념에서 설명. [내부링크]

파일의 입출력 과정이 일어나기까지 컴퓨터, OS에선 어떤 일이 일어나고 있는지에 대해서 설명하고자 본 페이지를 작성합니다. 이 글에서는 PC에서 가장 널리 쓰이고있는 Intel/AMD core 기반으로 설명합니다. 언어는 C언어, x86-64 gcc, intel 어셈블리어로 설명 할 예정입니다. ARM, RISC-V 등 어떤 ISA로 하냐에 따라 Instruction은 달라질 수 있습니다. x86-64 구조를 간단히 요약하면 아래 본문과 같습니다. x86은 CISC ISA를 가짐. 데이터 이동 (Data Movement): MOV, PUSH, POP, LEA 등 산술 연산 (Arithmetic): ADD, SUB, MUL, DIV, INC, DEC 등 논리 연산 (Logic): AND, OR, XOR, NOT, TEST 등 비교와 분기 (Comparison and Branching): CMP, JMP, JE, JNE, JZ, JG 등 반복문 (Looping): LOOP, FOR, W

청담 미용실 "미러미러" 남자아이롱펌 후기 [내부링크]

최근에 인스타그램에 "아이롱펌"이라는 태그가 많이 올라오더라구요. 사진들 보면 스타일도 마음에 들고, 다 좋은데... 아이롱펌은 비싸고 오래걸리고, 헤어디자이너 실력에 따라 호불호가 엄청 크게 갈린다고 하더라구요. 그래서 그동안 하고싶었는데, 드디어 해보게 되었습니다. 저는 공대생이고, 연구원이라 이런거 분석하는걸 좋아합니다.... 아이롱펌 IRON-Perm, 아이언(IRON)의 일본식 발음으로 아이론펌이라고도 하는데 우리나라에서는 거의 아이롱펌으로 불리고 있습니다. 이게 스타일 이름은 아니고, 시술 방법론에 대한 이름입니다. 장점 : 1. 뿌리까지 볼륨을 확실히 줄 수 있음. 2. 가늘어도, 모발에 힘이 없어도, 숱이 적어도, 상한 머리결이여도 시술 가능 3. 두상과 모류방향을 원하는대로 바꿀 수 있어 자연스러운 웨이브를 줄 수 있음. 4. 다른 펌들에 비해, 지속성도 뛰어나고.. 형태 유지가 잘 되어서 머리 손질이 쉬워짐. 단점 : 1. 완전 수제펌이라 시간이 훨씬 많이걸리며 다

서울 청담 피규어뮤지엄w 다녀온 후기 [내부링크]

지브리 애니메이션 같은 날씨의 5월입니다. 오늘은 서울 강남 청담동이 위치한 피규어뮤지엄w를 다녀왔습니다. 피규어뮤지엄w 서울특별시 강남구 선릉로158길 3 Ys Roo 피규어뮤지엄w는 압구정로데오역 근처에 위치합니다. 주변에 뷰티/명품/맛집이 많으니 들리시는 것도 좋겠네요. 참고로 제 원픽은 만두집입니다. 오늘은 휴일이라 못 갔어요. 피규어뮤지엄W는 대한민국 서울시 강남구 청담동에 위치한 피규어 전문 박물관입니다. 이 박물관은 다양한 유명 영화, 만화, 애니메이션, 게임 등의 캐릭터가 있습니다. 밤에는 건물 외벽이 조명으로 화려하게 빛납니다. 관람에 대한 자세한 내용은 아래 사이트를 확인하시면 되겠습니다. 피규어뮤지엄 오늘 하루 이 창을 열지 않음 [닫기] - SUPER HERO & Ani HERO 만화책과 스크린 세상에서 나온 피규어와의 특별한 만남 Robot Taekwon V 태권V 피규어 특별전 개관특별전 B2 1F 2F 3F 4F 5F B2 ToyNJoy B2 ToyNJoy

삶은 왜 불공평할까? 인간은 왜 외로울까? 루소의 "인간 불평등 기원론"을 읽고 [내부링크]

자본주의 / 무한경쟁 사회 속에서 하루하루 바쁘게 살아가다보면 "내가 이렇게 힘들게 사는 이유가 뭐지?" 라는 생각이 들 때가 있어요. 가끔 이 삶이라는게 "통 속의 뇌"처럼.. "나'라는 사람은 사실 실존하지 않는데, 내 뇌가 내 감각을 착각하게하는건 아닐까? 어쨌든 삶은 불공평해.... 누군가는 운이 좋아서, 누군가는 타고난 신체 조건 때문에, 누군가는 물려 받은게 많으니까, 누군가는 도덕과 윤리라는게 없으니까. 잘 생각해보면, "불공평과 불행의 태초적 원인"은 주변환경 문제다 싶기도 하구요. 누군가는 분명 특정 분야에 있어 남보다 더 누리고 사니까요. 최초의 인간도 이런 불공평한 삶을 살았을까요? "우리는 왜 불공평하게 살고 있는가"를 분석해 보고 답을 얻기 위해서는 이런 의문을 던져 봐야 합니다. "장자크 루소"의 저작 "인간 불평등 기원론"에 바로 이러한 아이디어가 그려져 있죠. 루소는 태초에 인간이 어떤 본성을 가지고 어떻게 살았는가 를 설명하기 위해서, 태초에 인간은 "

[대학교 메일 필요] 네이버 멤버쉽 3개월 무료 (네이버페이5%, XBOX 게이밍, 웹툰, MYBOX 용량, 바이브, CU 등..) [내부링크]

대학생, 대학원생의 경우(근데 친구들 보면 이미 졸업한 친구들도 가입 되더라구요?) "네이버 스튜던트 멤버쉽"으로 3개월동안 무료로 사용 할 수 있도록 네이버에서 이벤트를 하고있습니다. 저는 생활비 대부분이 온라인에서 먹고/쓰고/예약하고 이런것들을 결제하고... 편의점에서도 네이퍼 페이를 많이 쓰기에,,,,, 저는 3달이면 이것저것 해서 10~30만원은 뽑아먹을 수 있을 것 같습니다. 일단 가입 링크는 아래에 올려놨습니다. 이거로 가입하면 저한테 네이버포인트 1000원 들어옵니다. 주변 지인들이 네이버 스튜던트 멤버십 사용하고 있으면, 초대장 요청하고 받으셔서 가입하시면 되겠네요. 띵동! 초대장이 도착했어요. 친구야 너에게만 알려줄게~ 요즘 대학생은 다 쓴다는 네이버플러스 멤버십 스튜던트에 초대합니다. campaign.naver.com 일단 멤버십 무료니까 가입하시고... 혜택들을 빠뜨리지 않도록 아래에서 자세히 설명할게요. 3개월 무료 네이버페이로 결제하는 쇼핑 / 예약/ 여행이

Chipless란 SoC와 반도체 IP 시장 분석. 그리고 PDK [내부링크]

Chipless란, Chipmaker가 Chip을 만들 수 있도록 설계 기술을 지원하는 회사들을 말합니다. 위 그림처럼 요즘은 손톱만한 Chip 하나에 Digital System을 올려버립니다. 그리고 이걸 SoC (System on Chip)이라고 부릅니다. 1. 맨 처음에 반도체 회사라고 하면, 설계도 하고 공정도 했는데... 둘 다 너무 어렵고 돈이 많이들었습니다.. 2. Fabless(반도체 설계사)들과 Foundry(반도체 제조사)로 나뉘고, Fabless가 주문을 넣고 Foundry가 제조하여 칩을 팔았습니다. 3. 요즘은 한 칩에 전부 설계해버립니다. 이렇게 되면 반도체 내부에 출력과 입력 사이 거리가 짧아지기에 많은 장점들이 생깁니다. 이 장점들은 미세공정의 장점과 유사합니다. - 칩과 칩 사이에 거리가 멀다면, 신호 전달에 그만큼 많은 시간 손실이 발생. 모든 칩들을 하나의 작은 칩에 올려 이런 Latency를 매우 줄일 수 있음. - 보드가 가볍고, 크기가 작아질

Clock Tree Synthesis(CTS)의 기본 알고리즘, H-Tree, Fishbone, Mesh, Low Power CTS [내부링크]

Clock Tree Synthesis(CTS)는 디지털 회로에서 사용되는 하나의 기술입니다. 하나의 Chip에는 수십만, 수십억 개의 Flip-Flop이 있습니다. 1.이 Flip flop을 Triggering 시키는 신호는 clock입니다. 2.Logic Design에서는 앞단의 Flip flop이 뒷단에 flip flop에 data를 넘겨주는식으로 설계를 합니다. 3.근데 이 Chip을 실제 웨이퍼에 그릴 Physical layout을 하면, 각 Flip-Flop의 clock pin과 Clock Source와 각각 거리가 달라집니다. Flip flop이 Trigger가 전부 다른 타이밍에 일어나게 됩니다. clock source에서 신호를 출력할 때 clock pin에서 입력 받는 시간을 Clock Latency라고 부릅니다. 3번에 말한것처럼 각 Flip flop은 각기 다른 Clock Latency를 갖습니다. 각 Flip flop간 clock latency의 차이를 Cloc

원어민 독일어, 독일어 유튜브 추천 : 권소아 선생님의 EasyGerman 이지네이티브 B1, B2 수준. [내부링크]

제 블로그에 구경오시는 분들은 주로 반도체 분야 연구원들이시죠. 제가 키우고 있는 부캐 중 하나는 외국어 공부입니다. 외국어를 배우면, 활동영역이 넓어집니다. 10대 20대를 넘어가면, 아무도 "공부해라" 말을 안하죠. 필요를 느끼는 사람만 스스로 시간과 돈을 투자하여 할 뿐. - "특정 언어를 할 수 있는" "특정 전공 전문가"에 대한 수요가 매우 많습니다. 그 사람들이랑 미팅 하고.. 협상하려면, 특정 전공 전문가가 직접 회의에 참여해야 하는데, "XX어"를 할 수 있으면서 특정 전공의 전문가는 매우 귀하니까요. 저는 한국에만 있고싶진 않아요. 그래서 제가 원래 공부하던 언어는 덴마크/스웨덴/노르웨이어입니다. 이 3개국가는 원래 덴마크왕국이었기에 비슷한 언어 구조를 갖고 있습니다. 근데... 이 언어에 대해서 한국에서 수요가 거의 없고, 시험 보려면 해당 국가로 가야합니다.... 잘못 선택한 것 같아..... 독일어는 한국에 독일어-괴테 시험이 있어요. A~C 타입의 시험이 있는

MIT 인공지능 무료 강의, 책 추천. Ian Goodfellow [내부링크]

SWE guy들 중에 AI 하시는 분들은 다 아실 Ian Goodfellow님의 강의를 유튜브에서 무료로 보고, 교재를 MIT 사이트에서 공식적으로 받을 수 있길래 공유드립니다. Alena Kruchkova Deep Learning Book Club www.youtube.com 저는 사실 학교 다니면서 이미 Phil kim과 Goodfellow Deep learing을 이미 배웠는데.... 아시잖아요? 시간 지나고.. 직접적으로 써먹지 않으면 다 까먹는다는 것. 그리고 제가 matlab을 제대로 배우질 못했는데 matlab으로 deep learning을 공부했고, python을 할 줄 아는데, 요즘 대세인 pytorch를 다뤄본 적이없어요. 앞으로 주말에 시간 있을 때마다 간단 정리를 해나가려고 합니다. EDA쪽 실험을 해보고 싶은게 많은데.... 능력과 의지가 모자라네요. 이렇게 공언을 해두면, 제가 언젠간 하긴 하더라구요. 상반기에 보려던 IEEE 문서들은 쭉 다 훑어봤고. 주

Intel Core Ultra 등장. 인텔 CPU의 i가 사라진다고 합니다. Core3, Core5, Core7, Core9, 칩렛, EUV [내부링크]

인텔의 Ultra 프로세서는 인텔의 새로운 프리미엄 프로세서 라인업의 이름입니다. 2023년 하반기부터 출시될 예정이고, 첫 라인업은 Meteor Lake. 메테오레이크입니다. 이름도 바뀌고, 로고도 바뀌면서 완전히 Rebrand됩니다. Core Ultra가 고급형, Core가 보급형 모델입니다. 아래는 루머로 요며칠 돌아다니고 있는 스펙입니다. 위 데이터베이스에 따르면, Meteor Lake-P 시리즈 모바일 프로세서는 - 1.9GHz의 코어 디스플레이 - 4MB L2 캐시 및 추가 128MB 캐시 - Moore’s Law is dead의 이전 뉴스에 따르면, 이 프로세서 시리즈의 GPU / SoC 부분에는 128MB 용량의 코드 명 Adamantine 인 Foveros 3D 캐시가 장착될 것이며 Intel Labs에는 512MB 모델도 있습니다. - 코어 디스플레이 사양 측면에서 Meteor Lake-P 시리즈에는 최대 128 개의 EU가 장착되어 있고 저가형 버전에는 96 개의

Chiplet이란 무엇인가? 반도체 설계 엔지니어 시점에서 [내부링크]

Heterogeneous Integration란 "개별적으로 제조된 구성요소를 패키지 단계에서 통합"하는 것을 의미합니다. 얼마전까지 SoC(System on a Chip)이 대세였는데, 요즘은 SiP(System in Package)가 대세가 되고 있습니다. 미세공정에서는 공정 수율 문제가 빈번하게 일어납니다. 반도체 칩에서 한 부분이라도 공정 결함이 생기면 정상 칩으로 쓰기 어렵습니다. 근데 요즘 같이 SoC 같은 시대엔, 칩 사이즈가 매우 큽니다. 하나의 칩으로 만들어진 칩을 Monoly라고 부르는데요. Chiplet은 전통적인 Monoly chip과 몇 가지 점에서 다릅니다. Monoly은 모든 구성 요소가 하나의 칩에 통합된 단일 실리콘으로 제조됩니다.이 방법은 모든 구성 요소를 동일한 공정과 재료를 사용하여 제조해야 하기 때문에 제한적일 수 있으며 칩에 결함이 있을 경우 전체 칩이 폐기되거나 다운그레이드될 수 있습니다. 얘기드린 것처럼, 미세공정에선 결함이 발생하기 쉬운데

[2023년 6월 26일] 반도체 뉴스 요약 - VLSI Korea [내부링크]

일본 정부 펀드가 반도체 재료 중 PR의 1위 회사인 JSR의 인수를 추진하고 있습니다. 日 국부펀드, 반도체 PR 1위 ‘JSR’ 인수 추진...“자국 공급망 강화” - 전자신문 (etnews.com) 2. LG전자가 2분기 영업익도 삼성전자와 하이닉스를 넘을 것으로 예상되고 있습니다. LG전자, 2분기 영업익도 삼성전자·SK하이닉스 넘나 - 디지털타임스 (dt.co.kr) 3.자동차칩에 들어갈 GPU 삼성, AMD와 함께 개발 - 매일경제 (mk.co.kr)

인공지능 관련 대화에 꼭 필요한 18가지 개념. [내부링크]

이 글의 목적은 두가지입니다. 읽는 사람들이 학사 전공 정도 수준의 전공 지식을 갖도록 내가 잊었을 때 보려고. MIT의 Goodfellow님의 책들을 참조했습니다. 제가 대학원에서 이 책으로 공부했거든요. 글의 작성은 Copilot이 도왔습니다. https://www.deeplearningbook.org Deep Learning Deep Learning An MIT Press book Ian Goodfellow and Yoshua Bengio and Aaron Courville Exercises Lectures External Links The Deep Learning textbook is a resource intended to help students and practitioners enter the field of machine learning in general and deep learning in particular. The online versi... www.deeple

[2023년 6월 27일] 반도체 뉴스 요약 - VLSI Korea [내부링크]

1.'반도체' 기술 유출 前 삼성 임원 기소장 공개 (g-enews.com) 2.네덜란드 ASML, 이르면 다음주부터 中 수출 끊는다 | 한국경제 (hankyung.com) 3.애플, 칼텍 특허 침해 소송 패소… “수천억원 물어줘야” - 조선비즈 (chosun.com)

[2023년 6월 28일] 반도체 뉴스 요약 - VLSI Korea [내부링크]

1.삼성전자 "2025년 모바일 2나노 양산, 2027년 전장 확대" :: 공감언론 뉴시스통신사 :: (newsis.com) 2.대세로 자리잡은 대형언어모델(LLM) 오픈소스 공개 < 트랜드 < 산업 < 기사본문 - AI타임스 (aitimes.com) 중국에서 Large Language Model 오픈소스 공개. 3.美, 엔비디아 등 'AI칩' 中수출 제한 확대한다…"밀수 더 늘어날 것" - 머니투데이 (mt.co.kr)

[에어차이나] 북경 경유해서 환승호텔 무료로 예약하는 법 [내부링크]

연말에 여행을 가기로 했는데, 한국에서 직항으로 가는 비행기가 없더라구요. 북경에서 경유해서 가는 항공편은 있는데, 심지어 다른 항공사의 반값이길래 바로 예약했습니다. 경유지에서 4시간 대기 후 출발, 23시간 대기 후 출발이 있었는데요. 네이버에 검색해보니, 20~23:59 정도 대기 시간이 있으면 에어차이나에서 무료로 환승호텔을 준다고합니다. 유럽의 특정 도시, 아프리카의 특정도시들은 한국에서 직항 비행기가 없습니다. 반값항공권 + 무료 환승호텔/버스 + 북경에서 1일 보내기. -> 바로 샀습니다. 근데.. 홈페이지 엄청 조잡하고, 회원가입 할 때 요구하는거 엄청 많고, 예약이 엄청 불편했는데, 특히 환승호텔 예약하는 것에서 속 터질뻔했습니다. 어려운건 없는데, 버벅거리는 것이 심합니다. 0. 23시간 정도 되는 충분히 긴 경유시간 비행기를 예약하세요. 20시간 미만이어도 무료환승호텔 예약을 해주는 경우도 있지만, 안 해주는 경우들이 있더라구요. 그리고 20시간 미만이면 무비자입

[2023년 6월 29일] 반도체 뉴스 요약 - VLSI Korea [내부링크]

1.인텔, ‘가우디 2’ 딥러닝 가속기…AI 벤치마크서 경쟁 우위 자신감 - IT조선 > 기업 > 컴퓨팅·IT서비스 (chosun.com) 2.미국, 대중 반도체수출통제 최종본 다음달 공개 전망…한국 기업 영향 주목 | KBS 뉴스 3.IEIE 개최

2023년 목표 (7월 1일 업데이트) [내부링크]

내가 되고싶은 나 : 1. 칩 설계 분야에서 10년 이상 실무경험 있는 글로벌 스탠다드 전문가. 2. 전공 내 세부 분야가 아니라, 전자/컴퓨터 공학에서 프로페셔널하기. 3. 위 두가지를 증명 할 수 있는 라이센스와 평판 갖기. Action ITem : 1. 다양한 경험은 할 것이지만, IEEE Standard을 토대로 하는 연구를 많이 해야겠다. 2. 전문 분야는 디지털 공학이지만, 수학/암호학/전기/전자/반도체/컴퓨터에 대해선 모든 것에 대해 조금은 알아야겠다. -> 일주일에 한 번 이상은 IT 뉴스를 요약하기. 3. 평판은 알겠고, 라이센스는 무엇을 준비하면 좋을까? 학위로 대체해야하나? 한국기술사나 미국 PE? Items Status & Comment IEEE1801, 1687, 1800, 1500, 1149, 1450 읽어보기 Done USB 4.0 Standard 읽어보기. Not started DDR, GDDR, LPDDR Standard 읽어보기. Not started

여행계획 [내부링크]

12/20 수 소지품 체크리스트 Checklist Item Status 여권 김포 -> 베이징 보딩패스와 e ticket 베이징 호텔 예약증 베이징 -> 코펜하겐 보딩패스와 e ticket 코펜하겐 -> 오슬로 보딩패스와 e ticket 오슬로 -> 도하 보딩패스와 e ticket 도하 호텔 예약 도하 -> 인천 보딩패스와 e ticket 중국 7:00~9:00 분당 -> 김포공항. (소요시간 약 1.5시간) 13:15 출발이니.. 공항엔 10시까진 와야한다. 에어차이나 고객센터 001(2)-80086-100-999에서 한국어 서비스 1번 누르기 한국에서 중국으로 가는 탑승 수속 도중에 "베이징에서 짐 찾겠다"라고 꼭 요청! 네이버에 CA138 CA877이런식으로 검색하면, 탑승구 게이트 위치 나온다. 베이징 환승 : 중국 베이징 경유 이탈리아 여행 북경 공항 환승방법! 에어차이나 라운지 PP카드 엄마아빠와 함께하는 이탈리아 여행! 에어차이나 타고 베이징에서 경유해서 밀라노로 가는

[2023년 7월 7일] 반도체 뉴스 요약 - VLSI Korea [내부링크]

1.SBI Holdings와 손 잡고 PSMC가 일본 공장에 자동차 반도체 공장 설립을 합니다. 일본+대만이 반도체 동맹으로 만나네요. 2. 애플카 스파이샷이 유출되었습니다. 자동차 제조사는 Lexus입니다. 그리고 최근 애플이 자동차전장, 카오디오 관련 자동차 특허출원을 쏟아내고있습니다. 애플이 자동차를 만들지 자동차 전장을 만들지는 모르겠지만, 둘 중 하나를 할 것은 확실해보입니다. 참고로 지난달에 삼성전자는 현대자동차와 협업을 발표했습니다. 삼성전자가 인수한 Harman group은 자동차 전장 1위 그룹이고, AP 쪽 과제 용역 경험이 풍부하여 관련 반도체도 많이 나올 것으로 보입니다. 3. 광반도체, 전력반도체 등에 쓰이는 갈륨, 게르마늄등 3/5족 화합물 반도체 광물들을 중국이 수출 제한을합니다.

[2023년 7월 11일] 반도체 뉴스 요약 VLSI Korea [내부링크]

1.[영상] 반도체 하이브리드 본딩 기술이 뜬다 - 전자부품 전문 미디어 디일렉 (thelec.kr) 2. 2023년 삼성, 현대의 주 관심은 차량용 반도체.

생성형 AI가 난무하면서 드는 생각 [내부링크]

2023년 Chat GPT와 AI를 모르는 사람이 없게 되었다. AI 기술을 활용한 자동 생성형 글, 가식적으로 광고화된 후기, 추천하는 알고리즘 등은 사람을 바보로 만들기 쉽다. 생성형 AI가 만든 글, 목소리, 사진은 이젠 정교해져서 (1) 사람이 쓴 것인지 구분하기 어렵고 (2) 진실인지 허구인지 분간하기 어렵다. 비슷한 예로, 체험단 광고가 있다. 이 사람들이 정말 그 컨텐츠를 "정말 경험을 했는지?" "진짜 만족을 하는지?" 아니면 그냥 광고인 것인지 알 수가 없다. (1) 홍보를 위해 사장에게 사진만 받고 "가짜 후기" 알바. (2) 블로그에 붙이는 광고수익 + 블로그 규모를 키워 팔기위해 -> 마구잡이로 이웃신청하고 공감 누르고 아무대나 댓글 달고다니고. : 이런 블로그들이 이런식으로 블로그 조회수와 순위를 올려서, 포털에서 검색했을 때 상위 노출이 되도록 만들려고 생성형 AI로 글을 엄청나게 쏟아낸다. 하루에 3~5건은 쏟아낸다. 제목은 누구나 궁금해 할만한 것인데,

[Deep learning] Backpropagation algoritihm (오차역전파)란 + 유 [내부링크]

Backpropagation algorithm은 Artificial Neural Network에서 가중치(weight)와 편향(bias)을 업데이트하기 위한 알고리즘입니다. Backpropagation은 Gradient Descent 알고리즘과 함께 사용되며, 인공신경망에서 가중치와 편향을 조정해 학습을 진행합니다. Backpropagation 알고리즘은 인공신경망에서 발생한 오차(error)를 역전파(Back propagation)하여 각 노드(node)의 오차 기여도를 계산하고, 이를 기반으로 가중치와 편향을 조정합니다. 이 과정에서 Chain Rule을 사용합니다. 순서도로 설명하면, 1. Backpropagation 알고리즘은 먼저 인공신경망의 출력값과 실제값 사이의 오차를 계산합니다. 2. 오차를 각각의 노드에서 역방향(backward)으로 전파하여 각 노드의 기여도를 계산합니다. 3. 이 기여도를 이용하여 가중치와 편향을 업데이트합니다. 이 과정을 반복하여 인공신경망을 학

케인스의 경제학 "고용, 이자, 화폐의 일반이론"을 읽고 / 반도체 불황과 관련하여 / 자원 빈국에 태어난 내가 쓰는 글. [내부링크]

일반적으로 자본주의 사회에는 실업자가 늘 존재하기에 '불황의 악순환'이 발생한다. -> 소비수요 감소 -> 투자 수요 감소 -> 재고 발생 -> 생산 규모 축소 -> 영세사업자, 부채가 많은 대기업부터 무너지기 시작 -> 실업률 상승 -> 소비수요 감소.. 계속 반복 이런 불황을 타개하기 위한 해법으로 많은 경제 똑똑이들이 논문을 발표했다. 애덤스미스 : 시장에는 보이지 않는 손이 존재한다. 모든 경제 행위자가 각자 이익을 위해 행동하면 된다는 주장을 그 유명한 "국부론"을 통해 주장. 상업이 발전 애덤스미스가 죽은 후... 미국에 경제 대공황 발생. 케인스 : 애덤스미스의 이론은 특정 상황에서만 적용되는 이론이고, 일반적으로는 정부의 적극적 지원 필요하다는 "고용, 이자, 화폐 이론"논문 발표. 논문 내용 정부의 적극적 지원이 필요! 지원에 쓸 자금 : 예비 세금을 사용하거나, 국채 발행하여 적자재정으로 공공지출을 늘림. 예를들어, 코로나 때 전국민에게 100,000원씩 지역화폐를

마키아벨리의 군주론을 또 읽고. (Machiavelli - Principe) [내부링크]

마키아벨리의 군주론을 처음 읽은건, 공군 15비 활주로 바로 앞 생활관이었던, 3생활관에 꽂혀있던 낡고 다 찢어져가는 책이었습니다. 어려운 내용도 없고, 흥미로운 내용은 많으며 책도 얇아서 정말 수십번 읽은 책입니다. (인간의 메모리는 volatile해서...) 이 책을 읽으면, 혼란의 시대(불공정, 국가간 반도체 패권, 역병)에 끝까지 살아남는 나, 기관, 국가가 되는 힌트를 볼 수 있습니다. 시대적 배경 당시 이탈리아는 밀라노공국 / 베네치아공화국 / 피렌체 공화국 / 로마 교황령 / 나폴리 왕국으로 쪼개져 대혼란의시대였습니다. 이 혼란의시대에, 피렌체공화국은 스페인에게 무너져 하루아침에 마키아벨리는 외교관->백수가 되어버렸습니다. 고위공무원에서 백수가 된 마키아벨리는 피렌체의 최고 명문가 '메디치 가문'에 발탁되기 위해 일종의 용비어천가 '군주론'을 헌정하게 됩니다. 이 '군주론'의 주요 내용은 '과거의 군주들이 국가를 잃은 이유', '문제가 발생했을 때 극복하는 법' 등이 담

STA Engineer (Static Timing Analysis) 엔지니어가 타이밍을 볼 때. 라이브러리 캐릭부터 DTA까지 [내부링크]

설계검증을 하려면 Function과 Physical을 봐야할 줄 알아야합니다. Physical에서 가장(?) 중요한게 Function 동작을 위한 Timing 제약 조건을 맞춰야 하는 것입니다. Timing 분석으로 대표적인건 Dynamic Timing Analysis, Static Timing Analysis, Monte Carlo simulation등이 있습니다. DTA는 너~무 많은 시간을 소요하구요. Monte carlo sim은 일반적인 경우에선 사용되지 않습니다... (제가 써본적이 없습니다..) 결국 STA로 Timing 검증을 합니다. STA Engineer라면 공정 라이브러리 분석, SDC clean, Timing path 분석, Timing Close를 할 줄 알아야하는데요. 펀더멘탈부터 시작해보겠습니다. 디지털논리회로에 timing이 뭐냐? Circuit level에서 Timing = Cell delay + Net delay 각 Delay를 input transi

쇼펜하우어 인생론을 읽고 [내부링크]

쇼펜하우어의 인생론에서 '인생에는 무엇이다' 라고 분석을 하고 나서 바람직한 삶의 자세에 대한 고찰을 볼 수있습니다. 저는 무교이지만, 쇼펜하우어의 책을 몇 권 읽고 불교가 궁금해져 법당도 몇 번 가봤습니다. (한국인이 유럽인이 쓴 책 읽고 법당 간다는게 웃기네요ㅎㅎ) 인생은 고통이다. 물론 잠깐잠깐 행복은 있지만. 니체, 쇼펜하우어, 마르크스 등 책들도 포스팅 예정인데요. 이 책들을 읽다보면 염세주의에 빠질 수도 있습니다. 하지만 핀트는, "헛된 희망과 걱정을 버리고, 현실을 충실하게 살아가라."라는 내용입니다. 철권의 헤이하치 아니에요 과거에 존재했던 것은 이미 존재하고 있지 않다. 그것은 과거에 존재했던 적이 없는 것이 현재 존재하고 있지 않은 것과 마찬가지다. 그런데 현재 존재하고 있는 것은 다음 면에는 과거에 존재했던 것으로 된다. 그러므로 아무리 무의미한 현재일지라도 현실이라는 점에 있어서는 가장 의미있는 과거보다 낫다. 이것은 설사 전자 보잘것 없는 것일지라도 후자에 무

Big data, AI 시대의 대기업들은 어떤식으로 코드를 관리할까? 수 만명의 엔지니어가 하나의 환경을 쓸텐데.. [내부링크]

IT 기업에서는 다양한 엔지니어들이 "대규모 프로젝트"에 참여합니다. 코드 관리는 엄청나게 어려운 것은 아니지만, 꼭 정해야 할 규칙이기에, 이를 효율적으로 관리하기 위해 다양한 방법과 도구를 활용합니다. 애플, 마이크로소프트, 구글에는 엄청나게 많은 유저 데이터가 있고.. 엄청나게 많은 개발자들이 작성한 스크립트들이있습니다. 혼자 만드는거면 어디에 변수를 선언해서 어디에 있는 데이터를 불러오고.. 어디에 있는 문자를 정규표현식으로 수정하고.. 보는게 쉬운데요. "남이 만든 코드"면, "아 진짜 왜 변수 이름을 이렇게 선언해놨어?", "얘는 정규표현식이라는거 모르나?", "제발 주석 좀 달아놔!!!", 이러거나... 이미 누군가 만든 함수가 있는데, 내가 그 함수를 만드느라 시간 낭비할 수 있습니다. HW/SW 뿐만아니라, 기계공학, 수학, 물리학자들도 컴퓨터를 사용하여 script를 작성하고, "원하는 기능" 구현을 하고, 추후 그 기능을 수정하거나 삭제하거나 재사용합니다. 그렇기

서울에 있는 현대미술관 모음 [내부링크]

저는 예전에 국립현대미술관에서 잠시 일했을만큼 현대미술에 관심이 많습니다... 작년에 미술관 전국일주를 하고싶었는데 그러진 못했고, 대신 현대미술 전시가 있던 미술관은 다 가봤습니다. (1) 국립현대미술관 / 과천, 덕수궁, 서울 내가 잠시 일 했던 미술관이기도하고.. 내가 가장 좋아하는 미술관 국현. 때에 따라 다르긴한데, 보통은 홈페이지를 통한 예약을 하고 가셔야합니다. 가격이 거의 무료에 가깝고, 시간당 입장인원 제한이 있기에 주말 예약은 며칠 전에 하셔야할거에요! (2) 서울시립미술관 남 / 북 국현 옆에 있어서 같이 가면 좋습니다. (3) K현대미술관 / 강남구 선릉로102길 40 (4) 롯데 뮤지엄 / 송파구 올림픽로 300 (롯데타워 내에 있습니다.) (5) SOMA 미술관 / 송파구

아르토텔레스의 '니코마코스 윤리학'을 읽고 [내부링크]

가끔 인간의 원초적인 궁금증이 생기는 경우가 있습니다. "나는 왜 살까??" + "좋은 사람이 되려면 어떻게 해야할까??" AI 시대에 반도체 설계하는 사람 입장에서 책을 읽어봤습니다. 인간은 무엇을 위해서 살까요? "무엇을" 위해 인간은 어떻게 살아야할까요? 현재 살아가는 인류들도 고민하고 있는 것들이죠. 아리스토텔레스는 이러한 고민들을 "니코마코스 윤리학"에서 다뤘습니다. 《니코마코스 윤리학》은 행복과 도덕에 관한 아리스토텔레스의 철학을 담은 책입니다. 10권으로 되어 있으며 리케이온에서의 강의 노트를 바탕으로 하레스의 아들인 니코마코스에게 바치는 형식이거나 그에 의해 편집된 것으로 보입니다. 당대 최고의 금수저였던 니코마코스의 과외노트를 현대에선 무료로 볼 수 있으니 어찌나 감사할지 모르겠습니다..!!! 책이 이런게 좋은 것 같아요. 니코마코스는 이제 알았고.. 윤리학이란? Ethnics (윤리학) : 품성에 대한 논의 Ethos : 습관 니코마코스 윤리학 : 인간의 품성에 대

주말동안 넷플릭스 시리즈 "Good place (굿플레이스)"를 보고. 추천함! [내부링크]

할게 많은데... 공부도 해야하는데... 읽어야 할 논문이 산더미인데... 그냥 이번 주말은 놀았습니다. 그게 저니까요. 제가 본 시리즈의 제목, 굿플레이스(Good place)는 좋은 장소에 대한 이야기입니다. 사람이 죽고, 쌓은 덕에 따라 Good place / Bad place를 갑니다. 상세한 내용은 아래 영화리뷰를 참고해보세요~ 시리즈를 볼 때 더 재밌게 보기 위하여, 플라톤, 아리스토텔레스, 칸트, 니체, 슈바이처 등의 철학자들의 생각을 소개하고자 합니다. 드라마 "Good Place"는 인간의 삶과 죽음, 그리고 영혼의 존재와 그에 대한 다양한 철학적 고찰을 다루는 작품으로, 많은 철학자들의 생각과 이론이 은근하게 쓰이고 있습니다. 인간의 삶이란? 어떻게 살아야하는가? 플라톤(Plato) "Good Place"에서는 플라톤의 "국가론"과 "파이돈"의 개념이 나옵니다. 플라톤은 정의, 복지, 공동체, 교육 등에 대한 철학적 고찰을 제시하며, 이를 바탕으로 인간의 행복과 삶

반도체Physical implementation, physical design, DFT와 관련된 IEEE 표준 정리 [내부링크]

이거 아래꺼 읽기라도 2분기동안 다 읽어봤으면 좋겠다. 진짜 내가 이거에 대해서 "안다"라고 말하려면, 최소한 여기에 있는건 다 알아는 놔야 할 것 같다. 일단 내가 IEEE 멤버쉽이 있어서 다 받아두긴 했다. IEEE1801 -> 1500 -> 1149.1 -> 1687 -> 1450 -> 1497 -> 1364 -> 1800 -> 1497 순서로 도장 깨 나가려고함. 최대한 정리를 쉽고 간결하게하여, 이거에 대해 모르는 사람에게 이해 시켜줄 수 있을정도로 세미나든 자료정리든 하고싶은데 잘 될지....? IEEE1149.1 IEEE1500 IEEE1364 IEEE1800 IEEE1801 IEEE1687 IEEE1497 IEEE1450

오랜만에 글로 쓰는 일기 [내부링크]

블로그라는 플랫폼에서 제 근황을 궁금해하는 사람들이 있을 줄 몰랐는데, 종종 있길래 써봅니당. 1. SNS를 엄청 많이 하는데요. vlog 만들고 편집하는게 tiktok이 제일 편해서 제 일상은 tiktok에 기록하고있습니다. 2. 밥 먹는 시간 아까워하는 사람입니다. 그렇다고 생산적으로 사는건 아니긴한데;; 그냥 이렇게 해서 좀 더 자고, 친구들이랑 놀고, 산책도 좀 더 하고싶어서.. (1) 2022년동안은 제 생산성이 너무 낮아서 "회사원으로써의 나"만 있고, "친구로써의 나", "아들로써의 나", "나 스스로"에 대한 시간이 많지 않았어요. 그래서 2023은 이걸 만들고자, 시간관리 부분에 노력하고있습니다. (2) 하루를 분 단위로 시간 관리하기 위해+읽은 논문 정리를 위해 Notion이라는 Application을 사용하고 있습니다. 나중에 제가 쓰는 템플릿 몇 개 공유해드릴게요. (3) 밥 빨리먹는데, 아침이나 저녁은 출퇴근길은 뛰면서 김밥 먹어서 그런가.... 역류성식도염

Machine Learning이란 무엇인가? 그리고 EDA 업계에선 어떻게 쓰이는가? [내부링크]

데이터에는 체계가 있다. 이 체계가 갖춰지면, 사진/소리/글을 보고 고양이인지 강아지인지 판단 할 수 있다. 학습 데이터를 기계에 학습시켜 최종 목표는 모델을 만드는 것이다. 머신러닝은 모델을 만드는 것에 정말 많은 자원이 필요함. 그러므로, 수학적으로 논리적으로 정답을 만들기 어려운 것들에 대해 머신러닝을 사용한다. 그래서 최종목표인 모델이 완성이 되면, input data를 줬을 때, Model이 일반화(Generazation) 후, output data를 만들어준다. 그런데 우리는 Training Data에 있던 강아지 사진을 다시 Input Data에 넣고 이게 어떤 견종인지 정답을 듣고싶은게 아니고, 길에서 만난 강아지를 사진 찍어서 이걸 Input Data로 쓰고 어떤 견종인지 알고싶어한다. 머신러닝의 모든 문제는 여기서 시작된다. 문제를 최소화하려면, Training Data가 bias 되면 안되고, overfit되면 안된다. bias는 편향을 의미하는데, 예를들어...

2~3월 월(?)기 [내부링크]

1.스크립트 언어는 파이썬, TCL만 하기로함. TCL은 EDA 업무 하려면 어쩔 수 없이 써야하고, 파이썬은 요즘 대세라서 가장 자료가 방대하고.... Chat GPT가 언어중에 파이썬 스크립트를 제일 잘 만들어준다. 학교 다닐 때 파이썬, numpy, ai 관련 패키지 썼었는데 기억이 안 나............ㅜㅜ 2.연구주제 생각해야됨... 뭐하지? SI, DFT, ATPG, Low-power??? 3.시간 관리가 잘 안된다. 연구하고싶은 것도 많고, 가족과 가고싶은 곳도 많고, 답장해야 할 카톡도 많고, 주말에 만날 사람도 많은데..... 널널하게 산책도 하고싶고 게임도하고싶어...... 다행인 것은 일이 재밌고 사람들이 좋다. Chat GPT는 내 정보 모아둘 수 없는데, 개인 AI 비서는 언제 나오나용~~

[강남역 다운펌 잘하는곳] 로위 강남점에서 영찬 디자이너님에게 다운펌 받고 온 후기 [내부링크]

머리가 너무 길어 미용실 다녀왔습니다. 비포앤 애프터 먼저 보시죠. 강남역미용실 '로위'에서 영찬 원장님에게 헤어시술 받았습니다. 로위 강남점 서울특별시 강남구 강남대로 328 강남역 쉐르빌 2층 저는 분당에서 학교 가는 길에 강남역에서 내렸습니다. 강남역에서 500m정도 떨어진 길이고, 스타벅스 윗 건물+역삼초등학교 정거장 앞입니다. 2층에 위치한 로위 매장 안으로 들어오니, 프론트에서 직원 두 분이 예약 관련하여 친절히 안내 도와주시더라구요 ㅎㅎ 저는 사실 체험단으로 방문하기도 했고, 이런건 처음이라 좀 긴장했는데 정말 친절하셨습니다. 그리고 다들 젊은 분위기였어요. 커피, 녹차, 쥬스 중 저는 냉녹차 마셨습니다 ㅎㅎ 이번에도 미용실을 근 4개월만에 왔기에, 앞머리가 당기면 턱에 닿는 정도까지 왔습니다. before 제가 원했던 스타일을 먼저 인스타그램에서 10가지 정했고, 아래처럼 정리된 스타일을 원장님께 보여드렸고, 상담 결과 4,5,10번 스타일로 시술 받기로 했습니다. 각

PCIE란, pma, pcs, phy, lane, topology등 설계자 입장에서 [내부링크]

내 손을 조금이라도 거쳐본 IP가 USB, Ethernet, SiFive U-core, DDR, ARM Cortex-A series, PCIe 등등등등등 있는데 시간 지나면서 다 까먹기도 하고.. Function이라도 적어봐야 할 필요성을 느껴서 IP의 쓰임새와 기본적인 구조에 대해 작성해보려고 합니다. PCIe란, 디바이스 간 고속 데이터통신을 위한 IP입니다. PC 조립을 해봤으면, '메인보드'라는게 있다는 것은 알 것이다. 그게 뭔진 모르더라도. 이 메인보드에는 병렬연산을 빠르게 처리하는 '그래픽카드(그 안에는 GPU)', '사운드카드' '캡쳐보드' 등을 달아놓을 수 있는걸 알겁니다. 근데 이 PCIe.. 설계하기도 구현하기도... 매우 까다롭고 중요합니다. ML/DL/AI, Cloud 등에선 GPU를 통한 Data를 엄청나게 주고 받아야하는데, 규모가 큰 Data 주고 받는걸 PCIe 없이 하긴 어렵거든요. 과거엔 이 메인보드에 통일된 규격이라는게 없어서, AGP, AMR,

MATLAB 2023 설치 방법, DeltaSGD 예제 [내부링크]

포털에 MATLAB 설치 검색 혹은 아래 링크 접속 MATLAB 및 Simulink 구입 방법 라이선스 옵션 표준용 영리 단체, 정부 또는 기타 조직에서 단일 사용자가 사용할 수 있습니다. 표준용 선택 교육용 학위를 수여하는 기관에서 교육 및 학술 연구에 사용할 수 있습니다. 교육용 선택 학생용 학위를 수여하는 기관에서 실시하는 교육과정과 연계해 사용할 수 있습니다. 자세히 알아보기 학생용 선택 가정용 개인적인 목적으로만 사용할 수 있습니다. 정부, 교육 기관, 영리 단체 또는 기타 조직에서는 사용할 수 없습니다. 자세히 알아보기 가정용 선택 무엇을 선택해야 할지 잘 모르겠습니까? 영업팀 문의 추가 리소스 기존 견적에서 구입... kr.mathworks.com MATLAB 받기 클릭 Windows용 다운로드 클릭. MAC에서도 MATLAB을 사용 할 수 있긴한데.. UI가 뭔가 덜 익숙하기도 하고, Toolbox가 없는게 있는 것 같아서, Windows로 작업을 하고있다. Toolb

전기 전자 컴퓨터 공학 논문 찾을만한 사이트, 최대한 돈 안 내고 보는법 [내부링크]

원하는 분야의 논문을 찾는다. Chat GPT한테 xx 분야 논문 찾아줘~ 했는데, 없는 논문을 찾아주는 경우가 너무 많았다. 있는 논문인 척 말하면서.. 막상 실제로 찾아보면 없는 논문. 그리고 나는 최신 논문을 찾고싶은데, GPT는 과거 몇년 전 데이터를 기반으로 찾다보니, 더 못 찾는 것 같다. 결국, 내가 찾아야함. 미국친구, 중국 친구, 스웨덴 석사생 친구들이 있어서 너넨 어디서 논문 보니? 물어보니, 아래와 같다. "Google sholar, DBLP, IEEE" 참고로 IEEE논문 같은 경우엔, 회원비를 내야한다. 지역에따라 다르긴한데, 연 구독료가 10~30만원 사이이다. 논문 한 편 볼 때 추가비용을 내는 경우도 있다. PDF 버튼을 클릭하면, 위처럼 가입하라는 메세지가 뜨고... 가입하려면 돈을 내라는 메세지가 뜬다. 그리고 내가 개인적으로 논문을 보는 곳은 IDEC : 반도체 설계 관련 논문을 무료로 빠르게 찾아 볼 수 있다... 근데 자료량이 많지 않다. 반도체

요즘 관심분야 [내부링크]

1.OCC를 어디에 배치하느냐에 따라, PnR Topology와 Cell Area가 크게 바뀐다. 근데 PnR Engineer 대부분이 DFT 지식이 많지 않다보니, 이 부분 신경 쓰기 어려움. DFT Engineer가 가이드를 줄 수 있도록 공부해봐야겠다... 선행연구가 있던데... 이것도 내 연구가 필요 2.PnR, CTS 알고리즘을 정확히 이해를 못했는데, 똑같은 Design 2개를 하나의 Top에서 데칼코마니처럼 Floor plan을 해도, 내부는 다르게 PnR이 된다... 뭔가 Topology가 이상함... 통신쪽 전공하신 분들이 이런 topology optimization을 잘 하시던데, 이것도 연구가 필요 3.xx aware DFT, xx aware scan xx aware bist xx aware atpg, xx driven 등... 그냥 low power 그냥 high testablity를 구현하기는 어렵고, 어떤 파일 넣고 aware로 돌려야 하는데.... 좀 연구

AI로 대체하기 어려운게 뭐가 있을까? 반도체 설계자 시점에서 [내부링크]

요즘 GPT가 핫하다보니까 어디서든 GPT 얘기가 많이 나온다. chat gpt든 AI든 기본 개념이 되는게 인공신경망이다. 딥러닝, 머신러닝 관련해서 위 그림을 다들 한번쯤 보긴 했을텐데.. input과 output 만드는 과정 사이에 저런 layer들을 끼워놓고, 각 인자들에 weight값을 곱하고.. bias를 더해 출력 값을 만드는거다. 그러니까, 아래와 같은 가정을 한다면. 1.내가 베이킹, 20대, 여자, 프랑스 동영상에 좋아요 클릭 2.유튜브 알고리즘은 베이킹 변수, 20대 변수, 여자 변수, 프랑스 변수에 가중치 추가 3. 유튜브는 베이킹, 20대, 남자, 프랑스.동영상을 추천영상에 띄움 4. 내가 해당 영상에 싫어요 클릭 5. 유튜브는 베이킹 변수, 20대 변수, 남자 변수, 프랑스 변수에 가중치 차감 이런식으로 엄청나게 많은 반복을 해서 내 취향에 맞는 알고리즘을 찾아줌. 물론 이런게 내 폰에서 일어나는건 아니고, 유튜브 서버에서 일어난다. 이런 변수를 parame

HBO 시리즈 "Westworld" [내부링크]

주말 동안, HBO의 시리즈인 Westworld를 감상했습니다. 재밌게 봤어서 추천하고자 글 작성해보려고 합니다. 재밌었습니다. 초반 시즌은 신기한게 많고, 되게 흥미로웠는데 시즌 후반으로 갈 수록 아쉬워서 정주행 하지는 않았습니다. 배경을 설명드리자면, A기업에서 돈 벌기 위해 만든 로봇테마파크가 있습니다. AI, 생명공학 기술 등을 통해 실제 사람과 똑같이 생긴 로봇들입니다. 실제 사람이 언행하는 것처럼 알고리즘이 짜여있고, 로봇들 스스로 생각도 하고, 삶에대한 욕구, 의식주에 대한 욕구도 있습니다. 그런데 로봇들은 자기가 로봇인줄 모르고 살아갑니다. 이 테마파크를 만든 개발자들은 이 로봇들을 호스트(초대자)라고 부르고, 이 테마파크에 방문한 사람들을 게스트라고 부릅니다. 게스트들은 이 테마파크에 와서 자신이 현실에서 하지 못하는 것들을 마음껏하게 됩니다. 은행을 털어 부자가 되거나, 싫어하는 사람을 죽이거나, 매춘부와 사랑에 빠지거나.. "심리적으로 무의식적으로 억압된 충동과

[ML/DL] Sigmoid Function이란? [내부링크]

AI를 만들려면, 학습시킬 데이터가 필요하고, 모델을 어떻게 만들지 전략이 필요합니다. 우선 우리가 사용하는 대부분의 작업은, 입력이 있고 출력이 있습니다. 우리가 원하는 것은 입력을 줬을 때, AI가 출력을 주길 원합니다. Activation function의 기본 목적은 입력값에 대한 출력값에 줄 영향치를 결정하는 것입니다. Activation function은 일종의 f(x)같은 함수입니다. 아래 식이 Sigmoid function의 예인데, 이 함수는 출력 값이 0~1으로 나오기 때문에, 이 함수를 쓰면, 입력값이 아무리 크든 작든 출력값이 간단해집니다. Activation function은 이진 분류, 다중 분류, 회귀 등 다양한 인공신경망 모델에서 사용됩니다. 다양한 활성화 함수가 있지만, 대표적인 함수로는 Sigmoid 함수, ReLU 함수, Tanh 함수, Softmax 함수 등이 있습니다. 이 activation function을 뭘 썼느냐에 따라, Model의 성능

공군 기상무장사 하는 일 + 되는법 + 병사로 비행기 타는 법! [내부링크]

저는 서울공항(15특수임무비행단)에서 비행기를 타는 공중근무자로 '공군 병장 만기전역' 했습니다. 강남~성남에 걸친 서울공항에서 자대 생활을 했고, 외출 나가면 보통 강남에서 놀았는데 기억이 새록새록 나네요. 주변에서 많이 물어보기도하고, 궁금한 사람이 많은 것 같아서 정리합니다. 비행기는 기본적으로 파일럿이 탑승하고, 임무에 따라 Load Master Flight Engineer, Flight Armorer, 항공의무, 항공구조사, 항공촬영사 등 다양한 사람이 탑승합니다. 대부분 공중근무자는 사관학교(공사, 간사)를 졸업한 장교들이고, 저는 그 사이에서 Flight Armorer(기상무장사)로 사격 임무를 수행했습니다. 하는 일? 제가 실제작전으로 나가본 임무들은 대부분 '선박 침몰에 대한 수색 작업'을 위한 조명탄 사격이었습니다. 조명탄 사격이라는게 쉬워보이는데, 1. 조명탄 한 발당 거의 20kg 정도 되고, 조심히 들고와서(조명탄도 폭발물입니다.) 발사대에 장전해야 하고 2.

memory hierarchy invlsi 메모리 계층 구조 정리 [내부링크]

Memory가 무엇인지, 어떻게 접근하는지? 어떤 종류가 있고, 요즘 SoC 설계에 들어가는 어떤 Memory가 있는지? Memory는 Hard IP를 쓰는데, 각 Pin의 역할은 무엇인지 공부하고 공유합니다. 컴퓨터 <-> 사용자 간 인터페이스 하기 위해선 Input, Output (이하 IO)를 거치게 됩니다. 사용자가 Input을 통해 신호를 전달하면, Control Unit은 해당 신호를 받아 처리한 후, output으로 전달합니다. 데이터 Load / Store를 하는 것에 쓰이는 그릇이 메모리입니다. 메모리는 사용처에 따라 다양하게 분류가 됩니다. 아래 그림은 memory hierarchy라고 불립니다. 위로 갈 수록, 비싼 대신 빠르고, 아래로 내려 갈 수록 싸고 느립니다. Register -> Cache -> Main Memory > Third party memory 순서로 알아두시면 됩니다. 아래 사진은 Apple의 iphone 14pro, 13pro에 들어가는 A1

가득 가득 [내부링크]

집 어떻게 생겼냐고 물어보는 사람 많아서 방 사진 하나 찍어봤다. 저기에서 재택, 유튜브, 블로그, 디스코드 등 다 한다. 옷도 좀 줄이고, 안 쓰는 게임기도 줄이고, 헤드폰도 치우고싶다. 이건 내 회사 책상 시간 관리가 잘 안 된다 하기 전에는 하고싶고 하는 중에는 언제 끝나나싶고 하고나면 허전하고

Memory Access, Memory Load & store [내부링크]

지난 포스팅에서 '컴퓨터 내 메모리의 역할과 종류'를 알아봤습니다. 컴퓨터의 기본 동작은 'I/O와 인터페이스하며 데이터를 읽기, 쓰기, 기타 동작(operate라고 칭하겠습니다..)'입니다. Memory를 얘기하기전에 ISA, 어셈블리어에 대해 짧게 이야기를 하겠습니다. 어셈블리어는 Programming Language 중에 가장 Low level이에요. "값을 불러와" "값을 저장해" "값끼리 더해" 이런 단순 연산들이 합쳐져서 High Level Language의 command 한 줄이 나오는거에요. 개발자들이 사용하는 Programming, script 언어는 High Level Language라고 불립니다. 대부분의 개발자들은 Compiler 이전 스텝까지만 코드 작성 및 수정을 하고, Assembler, Linker, Loader는 특별한 경우가 아니라면 직접 작성과 수정을 하진 않습니다.(극한의 최적화, 디버깅 필요시 보긴 함.) 아, 그리고 어셈블리어는 프로세서 제조사

PDK란? process design kit in vlsi [내부링크]

ASIC 개발을 할 때, 아래처럼 시작을 합니다. 아이디어 수립 제품 스펙 결정 PDK 수령 및 MTO 날짜 결정 PDK는 Foundry에서 Designer에게 전달하는 기본적인 Design Kit입니다. 30년 전에는 PDK에 대한 개념도 없었고 SPICE로 만든 Behavior Model 과 unit Square 당 R.C 값 정도만 가지고 회로 설계를 하였습니다. DSM으로 진입하며, 설계도와 Chip간 괴리가 커지게 되었습니다. 이를 보완하기 위해 설계 엔지니어 <-> 공정 엔지니어 간 회의를 통해 주고받던 data 들이 정리가 되어 외부 고객들에게 제공 되었습니다. 위 그림에는 PDK Flow가 설명되어있는데, DSM으로 들어가면서 과정들이 조금 더 추가되었습니다만... 위 과정과 거의 같습니다. 그리고 제가 Foundry engineer가 아니라, 자세한 과정을 쓰긴 어렵네요... 학교에서 배운게 전부여서..ㅎㅎ 통상 각 공정 1개당 1개의 PDK 가 만들어지고, 설계자들

반도체 설계, VLSI에 대해 알려드립니다. 물어봐주세요. [내부링크]

저는 성취와 나눔에 대한 욕구가 있어요. 블로그는 이것들을 이룰 수 있는 가장 가까운 매체라서, 기쁜 마음으로 블로그를 하고 있습니다. 덤으로 우리 회사가 얼마나 좋은 기술 갖고 있는지 뽐낼 수도 있구요 ㅎㅎ 반도체 설계쪽이라면 더 좋지만, 무엇이든 댓글로 질문을 주세요. 아래 내용과 관련된 경우 답변을 드리지 않습니다. 1.EDA Tool을 통해서만 할 수 있는 것. -회사에서 사용하는 라이센스로 제 개인 용무를 할 수 없습니다. 2.회사 기밀과 관련될만한 것 3.비밀 댓글로 개인 답변을 드려야 하는 경우 4.반도체와 관련 없는 질문 (자격증, 진로, 학교, 연봉 등) 5.좋은 반도체를 만드는 구체적인 방법들. (이런건 저희 회사 입사하시면 알려드릴게요 ㅎㅎ) 질문은 제 본업을 마치고 쉬는 시간에 알려드릴게요. 아는건 알려드리고, 모르는건 배워서 알려드리겠습니다. 제 블로그 첫번째 목표는 최신 반도체 정보를 한국어로 알려드림이었으니까요. 감사합니다.

SDC란? Standard Design Constraint란, Standard Design Constraint란 VLSI, Verilog, 반도체 설계 [내부링크]

우리가 CPU를 사든 그래픽카드를 사든 Memory를 사든 거기에는 성능값으로 clock speed(clock frequency)가 나와 있습니다. 컴퓨터의 모든 명령은 매 clock마다 수행이 됩니다. 여러분이 제 블로그를 열 때도 컴퓨터/휴대폰 속에서 수많은 명령어 연산들이 이뤄지는데요. 그 명령어 연산들이 반도체의 동작을 세세하게 명령합니다. 반도체의 동작들은 clock을 기준으로 동작됩니다. 어떤 반도체는 0->1이 되는 순간 동작하는 반도체가 있고, 어떤 반도체는 1->0이 되는 순간 동작하는 반도체도 있어요. 아무튼, 1. 프로그램은 반도체에 명령을 준다. 2. 반도체는 clock을 기준으로 동작한다. 3. clock이 빠를 수록, 반도체는 빠르게 동작 -> 프로그램이 빠르게 동작한다. 기능에 대한 설계는 Verilog라는 언어로 만든 Design을 통해 만들게 됩니다. SDC는 Synopsys Design contraint라고도 불리고, Standard Design Co

반도체 설계 결함을 찾는 설계. DFT와 Stuck at fault, Transition Fault ATPG와 Simulation [내부링크]

Design For Test(이하 DFT)는 공정상 결함을 찾기 위한 설계입니다. 반도체에 먼지 한 톨이라도 들어가면 결함이 발생하게 됩니다. 근데 반도체 양산을 하면 억단위 개수로 양산을 하는데, 일일이 촬영하여 Defect를 찾기는 어렵습니다. 그리고 미세공정으로 들어가며 수율이 아주 낮아졌습니다. 양품 비율이 10% 20%정도입니다. 자율주행 자동차, 의료기기, 방산 산업에 쓰이면서 DFT의 중요도는 더 높아지고 있습니다. 삼성 vs TSMC, 명운 건 '파운드리 3nm' 경쟁 삼성 vs TSMC, 명운 건 '파운드리 3nm' 경쟁, 향후 2~3년 고객 확보전 치열 삼성, 신기술 적용 승부수 "수율 완벽 수준까지 올라와" TSMC, 6개월 뒤늦게 양산 수율 안정적, 첫 고객 애플 추정 www.hankyung.com 그래서, Chip을 설계하면서, 공정에서 생긴 결함을 발견 할 수 있는 회로를 Chip 자체에 설계를 합니다. 이런걸 설계하는 엔지니어를 DFT Engineer라고

Synthesis, DFT, STA, EC 등 하면서 느낀 것. [내부링크]

저는 PI Engineer로도 불리고, Front end engineer라고도 불리며 이것저것 해봤습니다. RTL Engineer가 Verilog file을 전달해주면, 저는 그 RTL에 Physical적인 문제가 없는지 Check하고.. DFT하고.. SDC 만들고.. CTS에 필요한 정보들 정리해서 PD Engineer에게 전달해주고.. 이런 일을 하고 있습니다. 제가 느낀 어려운 점은 시간 문제. (1) Deadline은 짧은데 Runtime은 너무 길어요. 시간이 길기에 Chip을 여러 Block으로 쪼개서 진행하지만, 그래도 Block 하나가 처음부터 끝까지 진행되려면 몇 일이 걸리거나 몇 주가가 걸립니다. 첫 단추를 잘못 끼웠다? -> 미래의 내가 수습하거나, 처음부터 다시 하거나. 그리고 프로젝트를 시작하면, RTL Engineer도 RTL 만드는 것을 시작합니다. 데드라인 임박해서 RTL을 받게되면, 그 전에 준비 스크립트는 완벽히 만들어야 하고... 결과가 안 좋으면

2023 첫 일기 [내부링크]

요즘 틱톡에 브이로그 올리다보니 블로그에 일기 올리는게 뜸해졌다. 1.도파민 없이는 무미건조한 나 요즘 나는 도파민이 뿜어져나오는 일을 할 때를 제외하곤, 잘 안 웃는다. 엄마는 내가 사주는 커피 한 잔에도 웃던데, 난 잘 안되네. 여러가지 합법적인 도파민 분출구에 노출 된 나는 행복의 역치만 높아졌다. 행복도 불행도 시간 지나면 교체되는 데이터들이다. 결국.. 쌓이는 것에 집중하며 행복한게 좋은 것 같다. 쉽게 사라지지 않는 것들은 글, 실적, 학위, 자격증 정도 있더라. 2.결국 내가 똑똑해지고 건강해져야한다 외롭든 힘들든 결국 내가 처한 일은 내가 책임져야한다. 도움 요청하면 보통 30명중 1~2명은 진심으로 도와주더라. 나에게 잘해주는 사람들에게 더 잘하자 3.부캐가 중요하더라 일이 잘 안 될 때는… 내가 남들에게 속았을 때는... 내 공부가 잘 안 되었을 때는... 뭔가 내 자존감이 낮아질 수가 있는데 다른 곳에서 그 자존감 하락을 막아주는 것 같다. (1) 분당, 판교,

CTS란, Clock Tree Synthesis란 [내부링크]

Clock Tree Synthesis(CTS)는 Digital 설계에서 Chip 전체에 걸쳐 클록 신호를 알맞게 분배하는 단계입니다. 기능 설계인 RTL 설계 -> Logic level에서 실질적인 Physical Contraint 적용한 Logical synthesis -> Logical synthesis 바탕으로 실제 물리적 위치에 배치 배선을 한 P&R -> 그리고 CTS 각 Sequential cell Group은 하나의 클럭을 갖고 동시에 동작하게 됩니다. 그런데 Clock Source에서 각 Flip flop의 거리는 다 각각 달라서.. 'source ~ Flip flop의 Clock pin'까지 도착하는 시간이 달라지는데요. Clock path에서 발생하는 지연시간을 'Latency'라고 부르고, Clock path간 Latency 차이를 Skew라고 부릅니다. Chip 동작을 위해 필요한 timing 제약 사항들을 맞추기 어렵고.. Timing violation이 발생

CTS를 잘 하려면 어떻게? CTS 이후 Violation이 너무 크다면 [내부링크]

이전에 설명했던 것처럼, Logical Synthesis에서 Timing을 기가막히게 잡았더라도, P&R, CTS에서 Timing 틀어지면 처음부터 다시해야 할 수도 있고.. 그런 경우도 많기에, Logical Synthesis -> Pre CTS Timing Check -> Physical Synthesis -> Post CTS Timing check를 해야한다. CTS 한번에 Skew를 완벽히 맞추는건 매우 어렵다. 2022년에 출시된 iPhone 14 pro의 Processor인 A16 Bionic은 약 1600만 Transistor count인데... 이 Logic gate들을 Timing constraints 고려해서 P&R하고.. CTS도 해야한다면... 너무 많은 경우의 수들이 있어 Resource를 엄청나게 사용한다. Physical Design을 3단계로만 나누면, Floor plan -> P&R -> CTS 순서로 가는데, Chip이 작을 수록 경제적이기 때문에 오

벌써 2022년 하반기 [내부링크]

이번주는 비가 엄청나게 많이 왔다. 탄천은 범람했고, 길가에 맨홀은 분수대가 되었다. 근데 비 소리 때문에 잠은 더 잘 잤다. 비 그친 다음 날 요즘 하늘은 예쁜데 기온이 34도 35도를 육박한다. 집에만 있긴 심심하구, 밖에 나가면 더운 딜레마가 있다. 그래서 미술관이나 갈까 하면 매진이네. 백화점을 가면 사람들이 몰려있다. 그래서 지난주에는 용산 아이맥스, 이번주에는 판교 아이맥스를 갔다. 탑건 봤다 ㅎ 아, 그리고 회사에서 영화 동호회에 가입했다. 우리 팀 사람은 한 명도 없고, 나이대도 안 맞는데~~ 분위기가 좋다. 퇴근 후, 회사에서 300m정도 거리에 영화관 가서 동호회지원금으로 저녁 먹으면서 영화 보고, 끝나면 바로 집으로 간다. 야탑역은 cgv가 지하2층에 있는데, 지하 1층에는 분당선이 있다. 탑건2 재미는 쏘쏘했지만, 퇴근하고 회사돈으로 저녁 먹고 영화보고... 보는 동안 마음도 편해서 행복했다. 애사심이 커졌다. 스마트하게 일은 할 줄 모르지만 열심히는 해야겠다.

집에 있으면 심심, 밖에 나가면 뻘뻘 [내부링크]

회사에 미국팀 사람들이 왔다. 현재상황과 앞으로의 비전을 얘기해주셨다. 우리 회사가 한국에서 가장 빠르게 성장하고 있는 반도체 회사다. 근데 우리 세미파이브랑 같이 협업하는 회사들도 엄청 투자를 많이 받고있다! 나는 퓨리오사, 리벨리온 같은 회사의 전용 반도체가 시장에 얼마나 팔릴지 모르지만,,,, 각자 분야에서 기술력은 최고다. 우리 회사가 잘 될 회사만 골라서 협업을 하는건지... 우리 회사랑 일을 하면 사업이 잘 되는건지... 다 잘 되고 있다. 사실 과제 진행이 꽃길은 아니었는데, 어쨌든 다 잘 되었다. 배운 것도 많았고... usb, ethernet, PCIe 이런 주변에서 흔하게 볼 수 있는 것들도 미세공정에선 만만하게 볼 수 있는 것이 아니네. 주변에 있는 것들이 다 신기해보인다. ㅎㅎ 윤 정부에서는 AI 반도체에 5년동안 1.2조를 투자한다. 투자가 많이 되니 기쁘긴 한데, 경쟁자가 많이 생긴다고 생각하니 실력에 대한 조급함이 생기네. AI 반도체 대학원은 뭘 배울까?

주간일기는 지하철에 앉을 자리 있을 때 쓴다. [내부링크]

이번주는 나름 만족스러운 한 주. 학교 다닐 때는 지하철 앉을 수 있을 때 블로그 글을 썼다. 요즘 다시 유튜브도 하고싶고, 블로그도 제대로 하고싶고, 광고 달고싶다는 생각을 한다. 블로그 목표 1. 반도체 관련 글, 일기는 일주일에 각각 1개씩 작성하기. 2. 전문내용은 쉽고 짧게 쓰고, 짧게 쓸 수 없는 경우엔 글을 나눠 쓰기. 3. 검색했을 때 한국어로 최신 글이 있는 경우 쓰지 않기. 첫 5나노 공정 과제 tape out 했다. 기념으로 링크드인 첫 글도 남겨봄. 링크드인 친구 하실분? ㅎㅎ 아, 그리고 이번주는 회사 야유회를 다녀왔다. 몇 분 노력이 있어서 그런가 우리 팀은 분위기가 좋다. MBTI I끼리 좋은 분위기를 만드는 느낌?ㅋㅋ 나는 I 사이에 끼지는 않고 나 하고싶은 것 한다. 시니어님들이 많이 배려해주셔서 그런건지.. 시니어분들이 젊은건지.... 우리랑 잘 섞인다. 버스 전광판 너무 '응팔' 느낌이라 사진 찍음 ㅋㅋ 저건 atmega로 만드는걸까?? 버스도 너무

나만의 site 주소 생성 하는법. github blog custom domain [내부링크]

저는 취업, 진학, 사교 활동에서 블로그 도움을 많이 받았어요. 개인 명함, 이력서, 프로필, SNS 자기소개에 제 블로그 주소를 넣고싶은데, blog.naver.com/사용자이름 이런식으로 나오는게 싫더라구요. 그래서 제꺼 하나 만들었습니다. vlsi.kr VLSI Korea 죽을 때까지 반도체를 공부합니다. 그리고 배운 내용을 무료로 공유합니다. vlsi.kr 1.naver에서는 개인 도메인 사용을 막아놨습니다. 2.naver blog는 네이버에서만 검색 노출이 잘 되고, 구글이나 타 플랫폼에서는 검색 노출이 잘 안 됩니다. 그래서 github, naver 두 개의 블로그를 운영하고 있습니다. 제 github에는 별거 올리지도 않는데 네이버의 10배 정도 조회수가 나와요! 일단 비용! 비용은 사이트 이름이 얼마나 가치가 있는지에 따라 가격이 결정됩니다. 업체마다 가격 책정 기준이 달라서 여기저기 살펴보는거 추천드립니다.(저는 '호스팅케이알' 에서 했습니다.) 들어오면 이렇게 나오

VLSI란 무엇인가? [내부링크]

우리가 사용하는 스마트폰, 컴퓨터는 계속 성능 발전이 이뤄져왔다. 사실 곱씹어 생각해보면, 이상하다. 컴퓨터 역사가 50년이 넘었는데, 어떻게 성능이 계속 좋아져가는걸까? 반도체의 성능 개선은 크게 두 가지로 나뉜다. '설계 최적화 + 공정 선폭 감소' 참고로, 기본적인 로직(가산기, 감산기 등..) 설계는 옛날이나 지금이나 동일하다. 무어의 법칙 2년마다 반도체 집적도가 2배씩 올라간다는 무어의 법칙. 옛날에는 planar transistor(Source - Drain - Gate)를 사용했다, 집적도를 2배 올리기 위해, 세대 기준을 선폭을 30%로 설정했다. (0.7 * 0.7 = 0.49) 허나, 무어의법칙이 나온지 30년이 되었다. 현재와 당시 기준으로, 10,000배의 집적도가 올라왔고, 더이상 더 집적도를 높이기도 힘들고 집적도를 높여도 성능 향상이 전처럼 일어나지 않는다 처음에 말한 것처럼, 성능 향상은 공정 / 설계 기술로 일어남. More Moore 기술 - 기하학

2022.07 반도체 동향 [내부링크]

가전제품(이하 CE)로 이야기를 시작해보겠습니다. 경제 호황이든 위기든, 반도체에선 CE가 먼저 길을 걷거든요. 엔데믹 이후, 사람들이 집 밖으로 나오기 시작했고, 금리인상으로 사람들이 새 집 마련을 하는 경우가 줄었습니다. CE 산업 성장에 대한 염려들이 나오기 시작했습니다. 지난 달부터 국내 모 디스플레이 회사는 TV용 DDI를 1개의 주문도 없습니다. DDI : (Display Driver IC, 간단히 생각하면색깔을 표현하는 반도체라고 생각하면 됩니다.) 리먼 때나 IMF 때도 이러지는 않았다고 합니다. 사진 설명을 입력하세요. 아래 뉴스는 약 30년 동안의 전세계 반도체 산업 Revenue 지표를 나타냅니다. 지표를 보면, 떨어질 때 사면 크게 오르고, 오를 때 사도 장기적으로는 계속 오르는 차트입니다. 점점 모든게 전자제품으로 인해 대체되어 그런 것 같아요. 보시다시피 YoY의 다른 반도체는 다 괜찮은데, Optoelectronics만 유난히 낮습니다. Optoelectr

수원 왁싱 수원 남자 왁싱 Waxing Lab 다녀온 후기. #수원왁싱 #수원 남자 왁싱 #남자왁서 [내부링크]

8월 6일 오전에 수원 가서 다리 절반 왁싱을 받고 왔습니다. 모든 남자분들이 그렇듯, '한 번 해볼까?' 하고 안하다가 경험삼아 해보게 되었습니다. 살면서 처음 해본 왁싱이라 부끄러움 + 아플까봐 살짝 겁 먹은 상태로 출발했어요 ㅎ;; 통증 : 노란색 테이프 3센치씩 붙였다가 뗐다가 붙였다가 뗐다가 반복하는 느낌? 시간 : 상담 5~10분 하고, 왁싱 15분 정도 받으니 끝났습니다. 총 20분 정도 걸렸어요. 시설 : 위치 좋고, 건물은 오래된 것 같은데, 내부는 깨끗했음. 개업한지 오래 안 되어서 그런지 내부 시설도 다 깨끗했다. 분위기 : 엄청 아늑해서 그런가 받으면서 잠이 계속 왔다. https://waxinglab.net/ 왁싱랩 WAXING LAB - 남성전문 1:1 프리미엄 남자왁싱·태닝 스튜디오 남자 왁서가 1:1로 시술하는 남성 전문 프리미엄 남자왁싱·남자태닝 스튜디오 왁싱랩입니다. Discover You! waxinglab.net 주소: 경기도 수원시 팔달구 인계동

바쁘다보니, 블로그가 일기 블로그가 되어버렸다. [내부링크]

정보 글 한 줄 못 쓸 정도로 바빠진 것은 아니지만.... 쉬고싶어서. 새벽이든, 주말이든, 남의 일이든 다 봐주고 계신 선배님들 보면 대단하다 느껴진다. 여름이 안 끝나서 그럴까.. 아침에 눈이 안 떠진다. 회사 가자마자 커피 한 잔 마셔야 일을 시작 할 수 있다. 일주일에 한 번은 기술 관련 내용을 블로그에 작성하고 싶었고, 주말에 놀러다니면 그거에 대한 내용 쓰고 싶었다. 현실 = 주말에 잠만 자고, 평일엔 stuck at company fault... 내가 일을 좀 더 똑똑하게 했으면 일찍 퇴근 했을텐데! 아쉽다. 8월 23일은 내 생일. 생일이 벌써 내일 모레라니. 나이 먹는건 상관 없으니, 체력은 젊었으면 좋겠다. 잠이 많아져서 아침 운동은 못 하고 있고.. 저녁+밤산책이라도 하는데, 체력은 안 늘어나는 것 같다. 나도 하고싶다 vlog 아침 운동 주말 미술관 일주 그리고, 내 블로그는 공개 일기 작성하는 블로그가 아니야.. 앞으로 블로그 챌린지는 없습니다. 지난 내역들은

[Low power design]Multibit Flip Flop이란? in vlsi [내부링크]

Multibit flip flop은 Low power, small area 때문에 쓴다. 21세기 미세공정으로 들어오면서, 무어의 법칙 한계가 왔다. 주어진 공정 기술을 갖고, 제한 된 트랜지스터로 설계를 해야하기 때문에 설계 기술의 중요도가 높아졌다. High performance design의 경우, Power도 많이 먹고, Throttling도 심한 반면, Low power design은 그 단점들을 다 상쇄 할 수 있다. (물론 High performance chip보다 일반적으로 성능은 떨어짐.) Multibit Flip Flop은 Power 성능 개선을 위해, 공정사에서 개발한 cell이고, single bit Flip flop과는 physical implementation이 약간 다르다. RTL에서 Multibit Flip flop을 사용하려면 RTL을 수정, netlist에서 Multibit Flip flop을 사용하려면 synthesis와 P&R flow를 수정해야

가장 좋은 반도체 설계에 대한 내 생각 정리. (HPC, Low power) [내부링크]

*주관대로 쓴 내용입니다. 검색 노출을 위해 제목은 '가장 좋은 반도체' 라고 썼지만, '가장 좋은 논리 회로'라고 말하고 싶다. 현대 기술력으로 '논리 회로'로 쓸 수 있는 가장 좋은 소자가 반도체라서 반도체를 쓰는 것이다. 예를들어 생명 공학이 더 발전하면, 다른 바이오 소자를 디지털 논리 회로로 사용 할 수도 있다. 트랜지스터의 재료가 실리콘이 유기화합물으로 대체된다 하더라도 디지털 논리회로 설계 하던 사람들은 계속 설계 일 할 것 같다. 성공한 반도체 설계?? 반도체 설계를 실제로 해보면 알겠지만, 일정 맞춰서 Tape-out하고, Chip에 Bug 없으면 반은 성공이다. 고객은 좋은 chip을 최대한 빨리 받고싶어하고, Tape-out 날짜를 맞추기가 매우 까다롭고 Tape out(공정사에 설계도 전달)을 하려면 엄청난 검증(야근)이 필요하기 때문에... Tape out + Bug 없으면 반은 성공이다. 양산 과제가 아니라, 실험 프로젝트라면 MPW로 올려야 할텐데, 삼성

넷플릭스 혼자 싸게 보는 법. 디즈니, 유튜브 프리미엄, 아마존, 스포티파이, 애플뮤직도 가능 (gamsgo, 피클플러스 가격 비교) [내부링크]

일단 넷플릭스 프리미엄 (4k 화질) 계정 구독료는 1달에 약 12000원. 아래 써 있는 방법으로 하면, 1달에 5천원, 1년에 5만원 정도? 저는 사실 아직도 쓰고 있긴한데, 부모님 드리려고 하나 더 샀습니다. gamsgo 혹은 피클플러스 라는 회사에서 대여하는 계정을 사용 하는 방법. 이 회사에서 환율 저렴한 국가의 OTT 구독권을 단체 구매하고, 이 구독된 계정들을 이용자들에게 수수료 받고 파는 방식. 사실 저는 작년부터 쓰고 있었습니다. 갑자기 이 사이트가 잠적할까봐 선뜻 추천은 못드렸는데, 1년 쓰면서 이상 없었어서... 공유합니다. 혹시 그래도 좀 걱정된다면 장기구독 하지 말고 1개월씩 끊어서 연장하세요! 1.gamsgo 세계에서 가장 큰 OTT 공유 플랫폼. Share premium subscriptions with lower price on GamsGo! | Netflix | YouTube Premium - GamsGo 총 매출 2 0 사용자께서 공유하고 있습니다 1

야탑 스콘, 디저트 맛집 알로하버터 [내부링크]

알로하버터 경기도 성남시 분당구 매화로38번길 16 분당구 매화로 위치한 알로하 버터. 내 단골 디저트 맛집. 싼데 비싼 느낌의 디저트샵이다. 쿠키를 바로 바로 만들어서 계속 올려두신다! 매장에 앉아서 먹을 공간은 없고, 주민들이 오가면서 한두개 사 가는 곳! 난 개인적으로 말차화이트 맛있게 먹었다. 근데 뭔가 맛이 말차맛 킷캣이 나서 그검 좀 아쉽다. 나 그거 안 좋아해서. 투샷 아아랑 먹으면 달달허이 주말 재택 근무도 기분 좋게 가능. 무화과도 맛있음.

하이브리드 자동차 종류 [내부링크]

이전 포스트에서, 'Califonia Air Resource Board(CARB)는 캘리포니아 주의 자동차 규제를 정하고, 여기서 정하는 규칙들이 미국 연방으로 전파되고, 미국에서 전세계로 퍼진다'라고 말했습니다. CARB에서 정의하는 하이브리드 자동차란 두 개 이상의 에너지원, 에너지 변환기를 사용하는 자동차입니다. 원래 자동차의 기본(?)은 내연기관 자동차이기 때문에, 보통은 하이브리드라고 하면 하나의 에너지원은 보통 경유나 휘발유를 그리고 하나의 에너지원은 전기를 사용합니다. 하이브리드 자동차는 그래서.. 모터와 엔진이 같이 있습니다. 모터는 전기로 구동이 되고, 엔진은 기름으로 구동이 됩니다. 전기 사용 비율이 얼마나 되느냐에 따라 마일드 하이브리드, 마이크로,, 등 구분이 됩니다. 또, 에너지 공급을 어떻게 하느냐에 따라 구분이 달라집니다. - HEV(Hybrid Electric Vehicle) : 기름 - PHEV (Plugin Hybrid Electric Vehicle)

2022년이 벌써 반이나 지났다고. [내부링크]

올해에 내가 뭘 한지 모르겠다. 회사도 다니고 학교도 다녔다. 꽉 찬 반년을 보낸 것은 맞는데, 허송세월을 보낸 것 같다. 재밌지도 않았고, 내가 뭔가 많이 배운 것 같지도 않아서. 회사에서는 나만의 데일리 리포트를 쓰고, 데일리 리포트를 종합해서 보고용 위클리 리포트를 쓴다. 점점 기억력이 나빠진다는게 느껴진다. 내가 기억력이 좋아서 꼼꼼하다고 느꼈는데, 요즘 기억력이 나빠지 실수가 많아진다. 기초체력도 떨어지고.. 살이 찐다. 요즘 매일 퇴근하고 맥주 작은 캔 하나 마셔서 그런가,,, 더 찐듯. ~2022년 6월의 나 장점 : 기억력 좋음, 기록하는 습관 있음, 체력 좋음 단점 : 75%까지는 꼼꼼히 하고, 남은 25%는 대강 마무리하는 경향 있음. 2022년 7월부터 되고싶은 나 1. 출퇴근 외에도, 매일 1시간 밤 산책하기 2. 블로그, 유튜브 되살리기 3. 언젠간 해야되는거라면, 일단 시작하기. 회사의 팀원 중에 한 명이 우울증 자가진단을 해봤다해서 나도 해봤다. 상담/참여

무주택 생애최초, 아파트 vs 오피스텔 vs 빌라 vs 도시형생활주택 [내부링크]

일단 내가 요즘 자주 보는 유튜브. 근데 내 성격이 급해서 2배속 아니면 못 보겠더라. 유튜브에서 좀 주워들은 내용들 종합하면, 임대인이 되거나 특별한 케이스를 제외하면 아파트가 제일 무난하다. 그렇기에 아파트, 아파트 외 주거용 건축물 이렇게 분류하겠습니다. 1. 모든 주거용 건축물은 시간이 지나면 새로 지어야한다. 오피스텔, 도시형생활주택은 토지 비율이 낮아서, 재건축 시 메리트가 적다. 2. 아파트는 비싼데, 지금 가격은 과대평가된 것일 수 있음. 3. 아파트 외 주거용 건축물은 주차에 대한 스트레스가 있어서 나중에 집을 팔려고 해도 인기가 없다. 4. 아파트 외 주거용 건축물은 세대수가 적다. 사고 팔 때 정보가 거의 없다. 5. 빌라는 세대에 이상한 사람 한 명 있으면 소음, 쓰레기, 매연 등 환경에 문제가 생겨도 관리해줄 사람이 없다. 불만이 있으면 직접 대면하거나 경찰 불러야 한다. 6. 오피스텔은 관리비가 매우 비싸다. 아파트도 비싸지만 오피스텔은 더 비쌈. 경비원도

국내파, 임윤찬 콩쿠르 우승!! [내부링크]

https://youtu.be/DPJL488cfRw 나 혼자 보기 아까워서 공유. 내가 좋아하는 음악 스타일은 아니긴 하지만 너무 잘함!!!!

흔히 발생하는 Verilog RTL coding 실수 [내부링크]

여기서 말한 잘못 만든 Verilog RTL code란, Verilog simulation 시에는 syntax error가 발견되지 않았지만, 설계자가 의도 하지 않은 실수가 들어있는 code. 일단, 이런 실수는 여러가지가 있는데, 무서운 점은 이런 실수들이 Error를 발생시키지 않는다는 점이다. RTL 작성 후 compile에서 Error가 없고, simulation 후에도 Function 문제가 없을 수 있다. 예를들어, 아래 같은 코드가 있다고 하면, module mux3a (y, a, b, c, sel); output y; input [1:0] sel; input a, b, c; reg y; always @(a or b or c or sel) case (sel) 2'b00: y = a; 2'b01: y = b; 2'b10: y = c; endcase endmodule syntax error는 없고, 00, 01, 10 입력 시 simulation 상에서 아무 문제가 없다.

행복하고 의미있는 삶 살기 [내부링크]

현재의 행복.. 중요하다. 계속 눈에 보이는 행복만 추구하면, 허탈감/불안함/불만족이 남게된다. 의미있는 삶을 만드는 행동하기위해 3가지로 정리했다. 1. 유대 - 나는 외로움을 느끼지 못하지만, 같이 있을 때 즐거움은 느낀다. 그리고 처음 만나는 사람들과 대화도 즐겁다. SNS가 삶의 낭비라고도 하지만.. 카카오톡, 인스타그램, 블로그 덕분에 멀어진 사람과도 쉽게 연락할 수 있다고 생각한다. 2. 목적 - 봉사를 하든 기여를 하든, 눈꼽만큼이라도 더 나은 삶을 위한 일 하기. 회사 다니면서 내가 기여하는 일에 대해 자부심 갖기. 쉬는 날엔, 재능기부, 봉사 등으로 사람들에게 도움 주기. 3. 스토리텔링 - 과거에 겪었던 불행에서 이겨낸 방법, 교훈 성공록 기록하기. 글이든 사진이든 서류든. 좋다. 나중에 쉬는 날 볼 수 있을 정도로.

자동차의 전원 전자 장치 구성 [내부링크]

자동차의 시동을 키려면, 키를 돌리거나 버튼을 눌러야한다. 시동은 어떻게 켜지는걸까? 자동차는 기계장치와 전자장치가 합쳐진 공학작품이라고 생각하시면 됩니다. 우리가 스위치를 켜면, 자동차에 전원이 공급이 됩니다. 전원장치는 크게 3가지로 구분됩니다. 배터리, Alternator(Generator), Starter(엔진을 처음에 돌려주는). 자동차를 오랫동안 배터리가 방전된다고 하죠? Alternator가 이들을 충전을 해줍니다.(배터리는 보통 전압이 12V라, 이를 충전하기 위해 13~14V의 전압으로 충전을 해줍니다.) 배터리는 자동차의 시동이 꺼졌을 때 헤드라이트나 라디오 등등 전원을 켜줄 수 있게 전원을 공급하고, Starter는 엔진의 시동이 켜지도록 전원을 공급합니다. 이 형태는 몇 십년동안 유지가 되었는데, 2008년 쯤 경제위기와 함께 고 유가, 환경 부담이 커지며 자동차들의 연비경쟁이 시작됩니다. ISG (Idle Stop and Go) System 자동차가 잠시 정차

홀로 두 자녀 키우며 하루 7만보씩 걷는 배달 노동자 참변 [내부링크]

가슴이 먹먹하다. 배달노동자 중 교통법규 안 지키는 사람들 욕 많이 했는데.. 뜨거운 음식들고 하루에 7만보씩 걸으며 배달하고.. 두자녀 키우며 알뜰살뜰 돈 모아 전기자전거 사서 두 자녀 따뜻한 밥 해주려다가.. 허무하다 허무해. 죽으면 모든게 끝나는 사람 인생. 아둥바둥 살다가 두 자녀 홀로 남겨놓고 떠나네. 어머니는 떠나기 직전까지 자식들 먹여 살리려고 음식 배달하고, 자식들은 청천벽력 같은 연락을 받았겠지. 삶의 마지막 주마등에서 마지막까지 자식들 걱정을 하셨을 것 같아 너무 슬프다. 우리나라 교통법 강화, 배달노동자 보험 가입 및 보상이 좀더 개선되길..

전기 자동차 산업 구조 - 에너지 [내부링크]

화학이라곤 일반화학과 유기화학밖에 배운게 없고, 자동차라곤 전기자동차, 하이브리드 자동차공학 밖에 배운적 없습니다. 전공인 반도체 설계 위주로 쓸 것인데, 자동차/에너지/화학을 웨이브 할 수 없어서.. 이번 편은 빌드업을 위해 작성하였습니다. 현재 지구촌에는 에너지 + 환경 문제 존제. 전세계 에너지 소모량의 30%는 자동차입니다. 차세대 자동차 -> 전기 자동차 -> 많은 전기 필요 -> 전력 인프라 필요 (1편) -> 전기자동차 부품 (2편) 차세대 자동차 -> 자율 주행, 셀프 고장 검출 기능 필요-> 많은 종류의 고성능 반도체 필요 (3편) 에너지, 자동차 산업은 세계에서 가장 큰 산업입니다. 전세계에 종합전자 회사들은 몇 개 없지만.. 에너지 회사는 수백개 있습니다. 신 재생 에너지를 알아보기 전에 저희 세대가 교과서에서 배웠던 에너지에 대해서 정리하고 넘어가 보겠습니다~~ 그냥 가볍게 스르륵 스르륵 봐주세요~~ BP - Statistical Review of World E

무선통신과 이동통신의 차이 간단하게 알아보기. [내부링크]

무선 통신은 말 그대로 선이 없는 통신이고, 이동 통신은 이동하면서 쓸 수 있는 통신이다. 이동통신의 대표인 휴대폰은 왜 이동통신이라고 불릴까? 무선 통신은 이동성에 제약이 있다. 이동통신은 통신을 할 때 사용자의 위치 정보를 이용하기 때문에 기지국만 있으면 이동을 하면서도 통신이 끊어지지 않는다. 앞으로 최신 네트워크 동향에 대해 공부하고 정리하려고 한다. 무선통신과 이동통신에 대해 반도체 장에서 정리하고, 끝나면 통신칩에 관하여 쓸 것이다.

WPAN, FWA, WLAN, IEEE802.11 15 16 Zigbee, Cellular란 [내부링크]

인터넷 통신은 보통, 공기를 매질로 사용한다. 주파수 대역을 조정하면 통신을 할 수 있다. 현재 이동통신은 1G를 시작으로 5G까지 왔다. 5G의 목표는 20GHz대의 대역이지만, 현재는 3.5GHz를 간신히 넘고 있다. 파장이 작을 수록 주파수는 빨라지고, 주파수가 빨라지면 통신 속도가 빨라진다. 문제는, 파장이 작아지면 벽 통과를 하기가 힘들어진다. 그리고 데이터 통신을 넓게 멀리까지 할 수 없다. 근대 통신 기술부터 차세대 통신 기술까지 알아보겠습니다. Wirless Mobile Network의 종류. 이동통신 3G(IMT-2000), WCDMA, cdma2000, 4G... 5G.... 등이 있고, 무선 통신 Wireless LAN. IEEE802.11b/a/g 등이 있다. 정부에서 밀어주다가 없어진 와이브로 등도 설명을 하면 좋긴한데, 이건 거의 우리나라만 밀어줬기 때문에 전세계적으로 썼던 것들만 짚어보겠습니다. WPAN (Wireless Personal Area Netwo

경채는 (뚠뚠) 오늘도 (뚠뚠) 열심히(뚠뚠) 일을 하네 (뚠뚠) [내부링크]

개미는 뚠뚠 곧 누띠가 완성시켜줄 트랙입니다 soundcloud.app.goo.gl 분당에 봄이 왔어요. 탄천에는 벚꽃이 만개했어요. 학교도 귀여워졌어요 잠실에는 벚꽃색 곰돌이가 들어왔어요. 집 앞 도서관 가는 길에도 꽃들이 피었어요. 회사 딸기 화분에도 꽃이!!! 나 스스로 꽃 필 날이 올까~~ 나도 언젠간은 내일 양재 꽃 시장 다녀와야겠어요. 요즘 핀터레스트에서 홈플랜트 보는데... 노랑튤립이 땡겨서... 양재에서 노랑튤립은 한단에 만원부터 시작한다. 만원으로 실내 분위기를 바꾼다는게 가성비가 너무 좋다. 행복해. 1. 이 책상은 캠핑용 라이프타임 테이블이다. 세입자의 삶 청산하고 뿌리내려 살고싶다. 2. 아버지는 스피커를 정말 좋아한다. 나도 그 영향을 약간 받았는데, 나는 가성비를 따진다. 그래서... Shure사의 헤드폰, 마이크, 이어폰을 다 갖고있다. 3. 집에서 가장 좋아하는 물건은 허먼밀러 의자이다. 일할 때도 편하고 틸팅하여 헤드폰 착용하고 누우면... 천국 게임도

스칼라 설치하는 방법, Hello world 출력하기. [내부링크]

http://twitter.github.io/scala_school/ko/index.html 스칼라 학교 다른 언어: English Русский 소개 스칼라 학교는 트위터에서 기획된 강좌로, 경력이 많은 엔지니어들이 생산성 높은 스칼라(Scala) 프로그래머가 되도록 준비시키기 위한 것이었다. 스칼라는 비교적 새로운 언어이지만, 많은 기존 개념을 활용하고 있다. 따라서 이 강좌에서는 독자들이 개념에 대해서는 어느정도 잘 알고 있다고 가정하고 이를 스칼라에서 어떻게 사용하는지를 보여줄 것이다. 이런 방식을 택하면 엔지니어들이 더 효과적이고 빠르게 일정 수준에 도달할 수 있음을 알게 되었다. 이 글은 강좌에서 사용된 보조 교재이다. 하지... twitter.github.io VS code나 Pycharm 등을 사용하면 좀 더 편하게 플러그인을 설치 할 수 있을 것이다. 하지만, 그냥 나는 그냥 터미널 환경에서 vim으로 작업하는게 더 편해서 터미널에서 설치하려고 한다. 1. Homeb

요즘 사고싶은거 목록 wish list 추가 [내부링크]

집에서 향초 몇 개 쓰고 있는데, 그냥 홀더만 올려놓아서 그런가 왜이리 보기 싫은지 모르겠다. 촛대랑 홀더 뚜껑 좀 사고싶음.... 워머랑 전구 바꾸고싶다.....

MBIST란? BIST란? [내부링크]

미세공정에선 contamination과 EUV가 가장 제어하기 힘들고 수율을 떨어뜨리는 큰 요인이다. 말을 어렵게 썼는데, contamination은 1) 반도체가 그려지는 웨이퍼가 만들어지는 과정에서... 실리콘을 녹이고 굳히는 과정에 이물질이 들어가면(분자 하나라도!!) 그 부분에서 물리, 화학적 성질이 달라진다. 그러면 그 자리에 있는 칩은 불량이 날 가능성이 높다. 2) 실리콘을 녹이고 잉곳을 만드는 과정에 균일하게 녹고 섞이고 굳지 않으면 결정 구조가 달라질 수 있다. 이러면 전기적 특성이 달라진다. 3) 웨이퍼 위에 칩이 그려지는 과정은 빛을 이용하는데, 이 과정에 먼지 한톨이라도 들어가면 그 부분은 먼지에 가려져서 칩에는 그려지지 않을 것이다. 그러면 또 칩에 불량이 난다! EUV는 Extreme UltraViolet이라고 하는데, 말 그대로 극 자외선입니다. 30년 전에도 이론적으로는 있었는데, 현재 28nm, 14nm, 5nm 이렇게 미세공정으로 내려오니 EUV라는걸

회사 생활 6개월차에 남기는 일기 [내부링크]

3주년 창립기념식 이벤트 위원으로 받은 기념품! 첫 회사 첫 과제를 하고 있다. 핫한 분야의 스타트업 회사라서, 입사자도 퇴사자도 많다. 내 담당 과제도 바쁘지만, 회사에서 막바지로 진행되고 있는 과제들은 더 바빠서 막바지 과제에 지원을 하고 있다. 어느덧 첫 회사에 입사한지 6개월이 되었다. 입사한지 1달 정도 되었을 때 CEO님한테 Office time 방문하는 것을 신청해서 30분 정도 대화를 나눴다. 팀원들이 직접 말하지 못한 고충들을 얘기도 하고.. 개인적으로 궁금한 것도 여쭤봤다. 내가 개인적으로 궁금했던건, '회사 생활을 어떻게하면 잘 할 수 있는지?' 요걸 중점으로 여쭤봤다. 받은 답변은 'CEO 입장으로 생각하기(?)+자기경영'이였다. ㅋㅋ 당시엔 좀 웃겼는데, 그렇게 해오니 좀 더 생산성 있게 일할 수 있는듯 하다. 적용 예시 : 긴 설명이 필요해보이는 부분에 대해 모르는게 있는데, 옆자리 선배님이 바쁠 때 : 여쭤볼게 있다는 티를 내면, 급한거 끝내고 알려주심.

20대 청년 대출 받아 집 사는 계획 정리 [내부링크]

대학교 갓 졸업해 대학원 병행하는 24살(한국식 나이 아님 ㅎㅎ~) 직장인이 1년 안에 내집마련 하는 것을 목표로 본 게시판을 생성하였습니다. 되든 안 되든 의미 있는 도전이라고 생각합니다. 하지만 할 것임! 제가 보려고 정리한 정보인데, 대출 이율이나 조정지역은 1년에 여러번 바뀌기 때문에 한번 더 확인하는 것을 권합니다. 왜 1년 안에 내 집 마련을 하려고 함? 불가능해보이는데? 윤석열 대통령님이 올해 3분기 중 청년 LTV 완화 시행을 목표로 하고, 현재 법 개정중에 있음. 9월까지 청년이 생애 첫 주택 구매를 하는 경우 LTV (80%)를 대출해주고, 내가 직장에서 주택 구매 이자 지원을 해준다. 내 집 마련의 내 집의 의미부터 정의하면, 장기 실거주로 사용할 분당에 가까운 역세권 5억원 이내 구축 소형 아파트 그러나~~ 성남은 투기과열지구라서 대출에 제한이 있다. 정리하면, 분당에 살기 위해선, 6억까지 LTV 60%이기 때문에, 프리미엄 안 붙은 집을 사더라도, 6억이면

현충일이라 그런가 군대 꿈을 꿨다;;;; [내부링크]

군대에 대한 기억을 다 잊고 있었는데, 현충원 다녀와서 그런가... 군대 꿈이라는 악몽을 꿔서 식은 땀 흘리며 깼다. 나는 제15특수임무비행단에서 2년간 복무했다. 주로 서울공항이라고 불리며 성남에 위치하고 강남에 걸쳐있다. (이 공항에선 어떤 업무를 하는지 궁금한 사람이 있을까 해서 써봅니다.) 비행기에서 사격 업무를 수행하는 일종의... 승무원(?)으로 있었는데, 군용 비행기이고, 훈련이나 실제 상황에선 기상 상태가 나쁘고, 예고 없이 갑자기 바로 활주로로 출동해야하기 때문에 항상 저 비행복 복장상태로 쉬는 시간에도 있어야하고,(그래도 이거로 휴가는 좀 벌었음.) 비행기를 한 번 타면 내려주세요.. 할 수도 없는데 반나절을 타야되니.... 진짜 스트레스가 컸다. 매일 한건 아니고 교대근무였지만 그래도.. 힘들었다. 그래서 선후배님들 존경한다. 새벽 4시에 일어나서 씻고, 4시 30분에 활주로 나가서 오늘 임무에 대한 탄약 적재하고, 쪽잠 자다가 8시에 조종사들이랑 가서 기상브리핑

주간 일기. 주기(?) 챌린지 스타타!!!!! [내부링크]

일기 쓰면 마음이 정리되고, 나중에 되돌아 볼 수 있어서 좋다. 근데 잘 안 쓴다. 일기 안 쓴다고 뭐라고 하는 사람 없거든. 군대에 있을 때는 소대장님이 매일 일기 검사를 했기 때문에 성인이 되서도 일기를 썼고, 내 아이팟 2세대 터치에 아직 일기들이 가득하다. 일상에 대해서 써도 좋고, 고독에 빠져 생각들이 뭉게뭉게 피었을 때 정리하기도 좋다. n년 뒤에 보면 그게 새롭게 읽힌다. 토요일엔 아모레퍼시픽 미술관을 다녀왔다. 사실 볼 건 별로 없었다. 역시 나는 국현쪽인가봐. 지난주엔 양재 꽃시장을 다녀왔다. 나는 꽃이 좋다. 근데 갖고 싶진 않다. 그냥 보는것만 좋아해. 동물도 그렇고 사람도 그래. 당근에서 레고 꽃을 사서 조립했다. 나는 수능 끝나고 레고 매장에서 알바를 했었는데.. 그 때 기억이 새록새록 났다. 같이 일했던 형 누나들은 어떻게 지낼까? 나는 샐러드를 안 좋아한다. 근데 어른들은 이거 먹는 것 같다.

2022년 6월의 나는 내집마련 준비 준비 준비에 몰두 중. [내부링크]

일기는 내 출근 곡으로 시작 보름 전에 나는 '1년 안에 집 사기'를 선언했다. 집을 사기 위해서 알아야 할 것은 아래 세 가지. 경제력 어디에 살 것인지 어떤 주거 환경에서 살 것인지 아이가 있다면 학군까지. 3개를 정리해야하기 때문에.. 나는 내집 마련 준비 준비 준비를 하고 있다. 금리가 계속 오르고 있다. 소위 '영끌'한 사람들의 채무 변제 능력에 대해 걱정하는 사람 반, 이때를 기다리던 사람 반 있다. 파월 "인플레 하락 증거 나올 때까지 금리 인상" | 연합뉴스 제롬 파월 미국 연방준비제도 의장이 "인플레이션 하락의 강력한 증거가 보일 때까지 지속적인 금리 인상에 나설 것"이라고 강조했습니다. 파월 의... www.yna.co.kr 이 때가 집 살 수 있는 막차 타이밍인가 싶다. 왜 그렇게 느끼나면, 리먼 브라더스 때 '주택 담보 대출 채무자들이 돈을 못 갚으면, 사회 경제가 마비되는 것'을 이미 한 번 경험했다. 그래서.. 주택 담보 대출 관련으로는 대출 금리를 낮춰줄

입사 후 받은 면접제의와 연봉 정리. [내부링크]

입사한지 어느덧 6개월이 되었고, 링크드인 가입한지는 3개월 정도 되었다. 나 같은 신입까지도 면접 제의를 줄 만큼 업계 엔지니어가 없나보다. 그리고 우리 회사에서 삼성이나 synopsys로 이직하는 사람이 많다. 내가 일당백 할 수 있으면 좋겠다. 진짜... 반도체의 장인이.. 이슈의 보안관이... 되고싶어. 어쨌든.. 지난 3개월 간 받은 면접 제의를 정리하면,,,, 하만에서 진짜 여러번. LX세미콘 아나패스 텔레칩스 ADT 지멘스(헤드헌터) 르네사스(헤드헌터) HR에서 연락 오는 경우엔 진짜 데려가고싶다고 어필을 많이 해주셨는데 헤드헌터 같은 경우엔... 마구잡이로 제의한 느낌이 있었음. 주변에 시놉시스 가는 사람들이 하도 많아서 나도 지원해봤는데 바로 합격+면접 메일 와서 놀랐다. 결국 면접은 안 간다고 했지만... synthesis, sta, dft 엔지니어 연봉이 궁금할 것 같아 정리하면, 기본급 초봉이(크레딧잡 기준) 중소기업 기준 4000만원대, 대기업 기준 5000만

아이오닉5 전기차 화재로 또 사망사고 [내부링크]

https://youtu.be/IzNpuD5eUjw 엔지니어들이 열심히 만들었겠지만, 배터리에 불 붙으면 이런 사고는 막기 어려우니.. 이런 사고 보면 전기차는 못 사겠다. 하이브리드 자동차는 배터리 사이즈도 작아서 이런 사고는 피할 수 있는데. 안타까운 일이네

4학년 공대생으로 어떻게 살고있는지? [내부링크]

MZ세대 공대생은 어떻게 시간 보내는지. 저는 97년생이고, 전자공학과 대학생입니다. 1. 하고 싶은게 생...

D-Algorithm이란? PODEM알고리즘이란? DFT&ATPG [내부링크]

DFT에 쓰기위해 ATPG에 쓰이는 알고리즘들에 대해 알아보겠습니다. DFT는 칩의 공정상 결함이 있...

공대생의 노후준비 [내부링크]

나도 반오십인데다가, 직장도 있으니 슬슬 노후준비를 해야겠다는 생각이 들어서. 당장 아래에 있는 것을 1...

idk why but i don't wanna go home [내부링크]

Idk but when I go home, I feel a little depressed. Maybe I like my company. I feel alive when ...

반도체란? [내부링크]

초등학교 5학년도 PN Junction을 배우는데,,, 반도체로 밥벌이 하는 사람들도 &#x27;반도체가 뭐에요?&#x27;라는 질문에 대답을 못하는 공학자들이 있습니다~~ 어렵습니다! 어려워요. 최대한 쉽게 설명하면서, 이론적인 것들 모두 포함해보겠습니다. 반도체는, &#x27;외부 에너지 넣어줬을 때만 전류가 흐르는 성질&#x27;을 가진 물체. 도체는 외부 에너지를 안 가해줘도 전류가 흐르고, 부도체는 외부에너지를 가해줘도 전류가 안 흐릅니다. (일반적인 상황에 그렇다는 것이고, 아주 강력한 에너지를 가해주는 등.. 특별한 케이스에는 다릅니다.) Q1 : 그래서 에너지를 얼마나 가해줘야하는데? 그리고 반도체는 왜 에너지를 가해줘야하는데? 전.......

Chisel / Scalar 공부 시작. [내부링크]

지금은 그냥 반도체 뭉뚱 구현, 검증해보는게 전부라서, 설계에 좀 관심이 생겼다. Chisel / Scalar 공부 좀 하고,,, SystemVerilog도 다시 보고 싶어졌다. 아래에 좋은 chisel bootcamp가 있어서 공유. 오늘부터 조금씩 chisel / scalar에 대해 독학해보려고 한다. 전통적 설계 기업 같은 경우엔 아직 Verilog 손코딩 많이 하는 것 같지만... 점점 Verilog 코딩도 스크립트를 통한 &#x27;설계 자동 설계(?)&#x27;하는게 트렌드가 되다보니, 그 중심에 있는 Scala를 공부하려고 한다! 사실 SystemVerilog도 봐야하는데. 로직 설계를 하는게 아니다보니, typedef등.. system verilog 코드 이해는 해도 코드 작성은 안 익숙하다. 지금은 내가 Syste.......

Verilog HDL의 조건문 차이 (if / case / ?) [내부링크]

학부에서 Verilog를 배울 때, if문만 썼다. 왜냐? 보기 편하니까. 근데 나중에 synopsys 교육을 듣고,,,,, verilog coding style을 배우면서 ternary operator, case문의 중요성에 대해서 듣게 되었다. if문을 사용하면 아래의 회로가 생긴다. case문도 마찬가지이다. 입력이 10개면 10to1 mux가 생기는 것이다! 기능적으로 똑같은데, case문 사용 이유 -&#62; 코드 작성 시간을 빠르게 해줌. ternary operator 사용 이유 -&#62; case문 쓸 때는 일반적으로, 0과 1에 대해서만 조건식을 쓴다. 그런데,, 가끔씩 x값이 들어 올 때가 있는데, output이 같은 bit는 정상출력하고, 그렇지 않은 부분은 x 처리하여 내보낸다. 3항연산자는 x의 처리를 알아.......

요즘은 그래픽디자인 구경중 [내부링크]

https://soundcloud.app.goo.gl/5DfjfxaD5AfudPmG9 요즘 내가 좋아하는 그래픽 디자이너. 배경화면으로 쓰는중c 이런 프린팅 그려진 머그컵 위에 뜨뜻한 코코아 한 잔 마시고싶다. 여러분들도 저랑 취향 비슷하면 함 써보시죠,,,, 배경화면 이것저것 몇개 없긴한데,,,, 귀엽더라구요. https://m.tounou.co.kr/board/tounou-wallpaper/12/?board_no&#x3D;12&#38;page&#x3D;3 미술관 가는걸 더 좋아하지만, 난 내 몸 끔찍히 아끼기 때문에,,,, 집콕하면서 그래픽 디자인 구경증. 원래는 조각을 더 좋아하는데,,,,, 조각가님들 일감 줄어든건 아니겠죠? 코로나 때문에 관심 없던 그래픽디자인에 관심이 생겼네. 대중문화 맛 들어간 상업성 낀 예.......

[Verilog, 디지털공학]X, Z, 차이, Metastability란? DRC란? [내부링크]

우선, wiki에 자세한 정보가 나와있으니, 참고하시길 바랍니다. https://en.wikipedia.org/wiki/Metastability_(electronics) X(don&#x27;t care, Unknown) -&#62; Z(High Impidance) -&#62; Metastability 순으로 설명하겠습니다. Digital은 0과 1로 이루어져 있습니다. 우리가 진리표를 그릴 때, 어떤 입력이 들어오든 출력이 결정 되어있으면, 거기엔 X (don&#x27;t care) 표시를 해줍니다. 입력에선 사실 이런걸 몰라도(?) 상관 없는데, 출력엔 이게 정말 중요합니다. 칩이 버그가 생길 수 있거든요. 반도체 설계로 밥벌이하는 사람들도 이거로 실수하고, 툴에서도 최대한 이런 문제(LINT)가 있다는걸 미리 알려주지만.. 툴도 찾지 못하고 설계.......

솔직히 진짜 대단하다고 느끼는 직장인 유형 [내부링크]

친구가 보내줬다. 1, 2, 3, 6, 7 해당한다. 4번은.. 집이랑 회사 가깝구.. 5번은.. 회사 밥 공짜구.. 7번은 사실 애매하긴한데;; 내 네이버나 깃허브 블로그 보고 면접제의 오는 경우들이 있다. 나 대단한 사람 아닌데 대단한 사람이라고 하니 좀 머쓱 뿌듯하네

Verification vs Validation, 차이 [내부링크]

교수님들이 영어 섞어 말하는 이유가 뭘까..... 1학년 때는 교수님들이 미국물 먹어서 그런줄 알았다. 알고보니, 한국어로는 똑같은 단어로 불리는 것이 영어에선 다양한 단어로 뜻이 나눠진다. 이 장에선 Verification, Validation의 차이를 알아보겠습니다. Verification IEEE-STD-610으로 알려진 소프트웨어 엔지니어링 표준은 &#34;Verification&#34;을 다음과 같이 정의합니다. “A test of a system to prove that it meets all its specified requirements at a particular stage of its development.” (&#34;특정 개발 단계에서 지정된 모든 요구 사항을 충족하는지 증명하기 위한 검사.&#34;) Verification의 핵심은 특정 개발 단.......

Fault, Error, Failure, Defect 차이 [내부링크]

본 문서는 ISO26262, 국방기술품질원의 정의를 참고하여 작성하였습니다. error discrepancy between a computed, observed or measured value or condition, and the true, specified or theoretically correct value or condition 계산, 관찰 또는 측정된 값 또는 조건과 참, 지정되거나 이론적으로 올바른 값 또는 조건 사이의 불일치 failure termination of the ability of an element (1.32), to perform a function as required 필요에 따라 기능을 수행하기 위해 요소의 기능 종료 fault abnormal condition that can cause an element (1.32) or an item (1.69) to fail 요소 또는 항목이 실패할 수 있는 비정상적인 상태 Wrong: When r.......

어린시절이 지루하다고, 서둘러 어른이 되는 것 [내부링크]

그리고 다시 어린 시절로 되돌아가기를 갈망하는 것 돈을 벌기 위해 건강을 잃어버리는 것 그리고는 건강을 되찾기 위해 돈을 다 잃는 것 미래를 걱정하느라 현재를 놓쳐버리는 것 그리하여 결국 현재에도 미래에도 살지 못하는 것 평생 살 것처럼 의미 없이 시간을 보내는 것 그리고는 살아본 적 없는것처럼 죽는 것 오늘부터라도, 내일 죽는 사람처럼 오늘을 실천하면서 살아보기. 과거의 미련, 미래의 염려 없이 현재의 행복과 불행 누리기. 유한한 삶이기에

Verilog Full case란? [내부링크]

Verilog로 어떤 코드를 쓰느냐에 따라, latch가 합성 될 수도 있고 Flip flop이 합성 될 수도 있다. 그러나, 우리는 Latch보다 Flip Flop을 사용한다. Flip flop은 데이터를 active edge에서만 값을 채오기 때문에 glitch에서 latch보다 더 안정적이며, 우리는 회로의 clock edge에서 동작하는 회로를 만든다. latch는 enable이 들어오면, D값에 따라 Q값이 계속 변한다.. Full case란, 모든 경우의 수가 정의되어 완성된 조건문이다. -&#62; Flip flop 합성 됨. 정의는 이렇고, 예시를 들어보겠습니다. input [1:0] Sel;이 있는 경우, Sel의 경우의수는 00, 01, 10, 11입니다. Verilog에서 case (Sel) 2&#x27;b00 : Data_Out &#x3D; A; 2&#x27;b0.......

Verilog Parallel case란? infer mux란? [내부링크]

1비트 짜리 신호 In_A, IN_B, In_C, In_D가 있다고 가정하겠습니다. 아래 코드를 먼저 보겠습니다. case (Sel) In_A : Data_Out &#x3D; Out_A; In_B : Data_Out &#x3D; Out_B; In_C : Data_Out &#x3D; Out_C; In_D : Data_Out &#x3D; Out_D; endcase Sel이 들어올 때, In_A만 1이고, 나머지는 0이라면 Data_Out에는 A가 출력된다. 그러나 In_A, In_B, In_C, In_D 모두 1이라면? 우선순위 선택 회로가 추가적으로 생겨서, In_A, B, C, D중 하나만 활성화가 된다. 즉, HDL에 작성하지 않은... 설계자의 의도가 들어가지 않은 로직이 추가된다. 설계자가, 이 로직에는 반드시 In_A, B, C, D중 하나만 1이 된다고 알고 있다면, 아래와 같은 코드를 작.......

프랭크버거 분당야탑점 [내부링크]

3900원 재료는 단촐하나, 상태가 다 좋았음. 3900원에선 먹을 수 없는 두툼한 크기의 패티, 매우 부드러운 빵, 아삭아삭한 채소. 지나갈 일 있으면, 프랭크버거 하나 정도 먹을만 할듯.

취미 부자 [내부링크]

선물 게시판을 개설했다. 난 사실 취미 부자다. 좋아하는 것들이 참 많다. 그래서 사고싶은 것도 많다. 꽤 미니멀하면서도 꽉찬 삶을 살고 있다. 그래서인지, 난 연애보다 내 취미에 더 투자하고있다. 내가 좋아하는 것 : 웨이트, 미술관 가기, 처음 먹어보는 음식이나 식당 가기, 사진 찍기(폰으로 찍으면, 맛이 덜하다. 무조건 필름카메라), 스케이트보드 타기, 음악 듣기(스피커가 좋지만.. ) 난 취미 부자지만, 드라마나... 영화나... 유튜브나....그런거 거의 안 본다. 그래서 사람들이랑 공감대 형성이 잘 안 된다. 그나마 보는게 F1 하이라이트나... 롤드컵, 테니스 하이라이트, 슈카 유튜브? 원래 내 취향이 담긴 wishlist라고 쓸까 하다.......

운동화 선물 추천 - 호카오네오네 본디7 [내부링크]

호카오네오네. 흉하게 생겼다. 근데 스테디 셀러이다. -&#62; 매우 가볍다. + 매우 편하다. + 매우 굽이 높다.(구찌 라이톤, 맥퀸 오버솔 급? 4~5cm되는 것 같은데, 색깔이 올블랙이고.. 생각보다 티가 안 난다.) + 10대 학생들이 신은걸 본 적이 없다. + 매우 시원하다. + 올검이라 지저분해지질 않는다. 원래 등산화이지만, &#x27;전투화&#x27;리는 별명을 갖고있다. 왜냐하면, &#x27;오래 서서 일하는 사람&#x27;에게 필요한 것들이 다 있으니까. 본디7 모델의 정가는 19.9만원이다. 할인률은 거의 없다. 정말 어느 시대로 가도, 이 신발의 디자인은 못생겼다고 할 것 같은 디자인이다. 하지만, 선물하기 좋다. 신어보면 안다. 스타일 추천하고.......

바람막이 추천 - 아크테릭스 ACTERYX [내부링크]

반도체에선 인텔이, 명품에선 에르메스가 비교 및 비유의 대상이 된다. 아크테릭스는 등산복계의 에르메스로 불린다. 구글에서 아크테릭스를 옛날 기간으로 검색해보면, 죄다~ &#x27;돈 많아보이시는 아저씨들의 등산셀카, 암벽셀카&#x27;만 있다. 명품 등산복이라고치면 나오고, 해외 캠핑, 백패킹 유튜버들도 많이 입고있다. 위의 디자인이 가장 흔하게 볼 수 있는 디자인이다. 아크테릭스 베타인데, 정말 가볍고 정말 튼튼하고, 방수 방풍도 최고다. 험한 아웃도어 활동을 안하고, 조깅이나 가벼운 활동만 하면서 입는 옷을 &#x27;Town용&#x27;이라고 하는데, Town용에서 아크테릭스의 기술력을 100% 느끼긴 어렵겠지만, 다른 대부분의 바람막.......

취미 추천 - 스케이트보드 [내부링크]

요즘, 취미 없는 사람이 많다. 유튜브, 넷플릭스에 볼 것도 많고.. 코로나 때문에 밖에 나가기도 힘들고.. 의지력도 부족해지니까. 취미가 없는 사람에게 스케이트보드를 추천한다. 이거 생각보다 쉽고, 재밌고, 어디서든 탈 수 있는데~ 살면서 한 번도 안 타본 사람들이 많다. 그리고 이거 꽤 힘들다. 스케이트 보드 오래 탄 사람들중에 뚱뚱한 사람을 본 적이 없다. 스케이트 보드 들고 다니는 사람들 중 뚱뚱한 사람을 본 적이 있는가? 일반인 관점에서, 스케이트 보드는 크게 3가지로 나눈다. 크루저보드 - 작고 가벼우며, 평지에서 빠름. (보통 21~28인치) 롱보드 - 길고(1m를 넘는 것도 있다.), 무거우나.. 안정적이라서 내리막 길에서 이.......

카메라 선물 추천 - instax [내부링크]

인스탁스를 추천하는 이유가 있다. 지금 찍는 이 사진은 세상에 단 하나 뿐인 사진이다. 필름카메라 종류가 다양하게 있는데, 사실 일회용 필카를 먼저 써보길 추천한다. 왜냐하면 평소엔 폰카메라로 찍거든ㅋㅋ 필카는 유지비용이 비싸다. 인스탁스 미니의 사진 1장 인화비용은 약 1,000원이다. 근데.. 졸업식, 여행, 첫 만남 같은 때에 필름 카메라로 사진 찍는 것은 폰카보다 훨씬 설렌다. 둘이서 사진 2장 찍고, 서로 어떤 사진 가질지 고르고.. 사진 밑에 여백에 네임펜으로 짧은 글귀 쓰는 것도 재밌고, 사진들 모아서 앨범에 넣어놓거나 벽에 붙여 놓는 것도 예쁘다. 아무 생각 없이 보는 그 사진도 예쁘고. 나는 이런게 아마도, 인간만 느.......

우산 선물 추천 - Fulton [내부링크]

비 내리는 나라, 신사의 나라를 떠올리면? 영국. 강우량이 많은 나라도... 항상 신사적인 나라도...아니지만, 영국을 생각하면 왕실이 떠오른다. 영국 왕실에선, Fulton 우산을 애용하고 있다. 현백에서 구매하면 10만원 내외로 구매 할 수 있다. 매~우 유니크한 디자인이고, 혼자 쓸 때 비를 정말 잘 막아준다. 그리고 브랜드 역사도 좋고 꽤 비싼 가격

향수 선물 추천 - 르라보, 불리 [내부링크]

향수 선물, 뻔하면서 쓸모 없고 호불호가 강하다. 일단, 향수를 선물하기로 마음 먹었다면, 선물과 함께 영수증과 다양한 시향지를 주는 것을 권한다. 그래야 마음에 드는 것으로 바꿀 수 있기에. 이 장에선 향수의 향에 대해 깊이 설명하지 않는다. Le labo. 한국에선 르라보라고 쓴다. 사진에 있는 3가지 향수가 대표적이다. 상탈, 어나더, 로즈. 르라보는 사람마다 느끼는 향이 다르기로 유명하다. 아무 향이 안 난다고 하는 사람도 있고,,, 따뜻하게 데운 우유 향이 난다는 사람도 있고,,, 아무튼 꽤 특별한 향수 브랜드이다. 그리고, 향수병에 붙이는 종이에, 내가 원하는 글을 새길 수 있다. 불리. 이 향수 브랜드는 2021년에, 향수 커뮤니.......

TCL 언어 script 예제. synopysis EDA tool의 기본. [내부링크]

synopsys tool은 TCL(Tool Command Language) base로 되어있습니다. 그 예시중 하나로 Design Compiler에서 constraint file(이하 sdc)를 적용할 때, set xxx 1 이런식으로 적용을 시키죠. 학교에서 DC tool을 처음 접할 때에는, dc_shell -gui로 들어가서, analyze.. elaborate.. link.. 다 직접 손으로 치면서 하지만, 현업에선, 작성해야할 코드가 방대하고, 수많은 반복작업이 필요하기 때문에 script를 작성해서 실행을 합니다. Synopsys EDA tool들이 tcl base로 되어 있으므로, 이 스크립트를 tcl로 작성하면 자동화하기가 매우 편리합니다. 알아서 디자인에 맞게 분석을 해주니까요. 근데 문제는, tcl을 공부할만한 자료를 찾는게 매우 힘.......

PVT, OCV, CRPR CPPR이란 in vlsi [내부링크]

setup/hold개념처럼, PVT/OCV개념도 명확하게 남에게 설명하기란 쉽지 않았습니다. 그래서 재정리합니다. 우선, 칩은 Process (공정) / Voltage (전압) / Temperature (온도)에 관련하여 칩의 성능이 변화된다. 이해를 돕기 위해서, PVT가 칩의 속도에 어떻게 관여하는지 표나 그래프로 도식화한게 있긴한데, 공정마다 달라서.. 절대적인 것 아닙니다. 아래의 그래프를 보고 &#x27;아~ 대강 그렇구나&#x27;하고, 텍스트로 보고 이해하세요. (1) 같은 기능을 하는 칩을 만들더라도, 5nm공정에서 만든 칩이 130nm공정에서 만든 칩보다 빠르게 동작한다. 왜냐하면 게이트 길이가 짧아서, 0-&#62;1, 1-&#62;0의 스위칭이 더 빠르게 일어나고.. 그 외에.......

어릴 적 내가 되고 싶었던 이상형 [내부링크]

어릴 적 꿈꾸던 부모님에게 건강하고 자랑스러운 아들 아내나 여자친구에게는 든든한 남자 절친들에게는 언제나 찾을 수 있는 편안한 친구 아무 것도 되지 못했다. 당연히 될 수 있는 것들이라고 생각했는데, 막상 너무 어려운 것들.. 어제 퇴근하며 사온 5500원 짜리 순대국이랑 깍두기로 아침하고, 체육관 다녀와서 치과 치료 받고, 회사 핑계로 미뤄뒀던 집안 일 좀 하고 낮잠 좀 잤더니 잠이 안오네.

도덕의 계보 [내부링크]

아침은 서브웨이 샌드위치, 저녁은 비츠 샐러드 오늘은 회사 환경 분석 세미나를 했다. 내일은 반도체 테스트 회로에 대한 세미나에 참석하고, 클라이언트에게 자료를 받기 전에 이전 자료들 리뷰를 할거다. 또, 클라이언트가 사용할 IP들에 대한 세미나를 준비하기로 했다. 다음주엔 회사 영어 시험이 예정되어 있다. 나는 사실 시험을 잘 보기 위한 공부를 해본적이 없어서 이런 것이 아직 어렵다.(그냥 스트레스 안 받으면서 공부하기만 해봤지,,) 우선순위 정하고, 중요한 것과 급한 것을 선택해서 집중하는거에 경험이 부족하다. 운동이든 게임이든 정공법으로 팠는데, 회사 업무는 대부분 &#x27;양식 맞춰서 최대한 빨리&#x27;를 여기저기.......

퇴근 [내부링크]

이제 퇴근 일이 쉽지 않네~~~ A,B,C에게 1 하라고 주면.. A는 제대로 하고, B는 이상하게 하고, C는 안하다가... 결국 A B C 셋다 망하거나 잘되거나.. 확률이 반반이다. 제일 말단인 나도 이렇게 느끼는데, 선배님들은 우리를 또 어떻게 느낄까 싶음. 바쁘신게 보여서 +나도 바쁠때 자꾸 질문 들어오면 집중이 깨진다는걸 알아서 질문하기가 어렵다. 바쁜데 CFO님, 옆부서 팀장님이랑 간단히 술한잔 했다. 다 너무 재밌으시고 대단하시고, 업적에 비해 꽤나 젊은 분들이셨다. 보고 받고, 보고 하는게 어렵다. 일단 내게 보고하는 사람들이... 이랬다 저랬다 하면, 난 또 곤란해진다. 아직 어렵네.

회사에서 배운 가장 중요한 3가지. [내부링크]

1. 객관적으로 생각하기. + 반대 입장에서 생각하기. - 이게 추상적으로 느껴진다면, &#x27;나&#x27;를 &#x27;내 직원&#x27;이라고 생각하기. - 모르는 것 모른다고 하기. 모르는 것이 있어서 찾아보는 건 좋은데, 말을 안하면 상대방은 내가 안다고 생각한다... 그러니까 미리 모른다고 말을 해야된다. 모르는게 있으면, 납기에 못 맞출 가능성이 높다. - 인원이 부족하다고 생각하면, 증원 부탁하기. - 글을 쓸 때, 누구나 이해할 수 있도록 하기. 쓰는 사람은 나 혼자지만, 읽는 사람은 훨씬 많다. - 꼼꼼하게 진행하는게 가장 좋지만, 꼼꼼하게 할 수가 없는 경우엔, 꼼꼼하지 않았던 부분을 기록하기. - 검색 할 때 &#x27;메모리 설계하는법.......

이번달 한달동안 생활비로 5만원 썼다. [내부링크]

몰랐는데, 전기 진짜 조금 쓰면 전기료 면제된다. 1. 전기세 1원도 안냈다. 2 원래 추위 안타서 손님 올때만 보일러키고.. 샤워도 체육관에서 하다보니, 이번달 가스비는 6000원 나왔다. 3. 밥을 잘 안먹어서 회사에서 먹고... 집에선 그냥 대충 냉장고 긁어 먹었더니 식비로 5만원이 전부. 치과 치료 받고, 키보드 마우스 고장나서 새로 사고, 친구들 밥 두세번 사준거로 한 100만원 썼다. 근데 졸업 우수 장학금으로 한 100만원 받았더니 다 매꿔짐 ㅎㅎ 올해 시작이 좋다.

Memory 설계하는 법 만드는법. ARM Artisan Memory compiler [내부링크]

메모리 설계 툴은 보통, ARM사의 Artisan Memory Compiler를 사용한다. 공정회사에 따라 기준이 다르므로, 보통 공정사의 Design Kit에 담아서 업데이트 후 사용한다. dk의 정보대로, setenv한 후, 터미널에 tsmc의경우 rf1sh 이런식으로 써서 실행한다. 이건 공정사마다 다르다. rf는 register file이고, 1은 1포트... 이런식으로 이름에 rule이 있다. (사진은 2004년버전이다. 2022버전에는 옵션들이 더 추가되어있고... 생김새는 똑같다.) 이거로 들어오면, 기본적으로 GUI로 접속하게된다. 아래 화면은 이미 Update가 된 상태이다. 처음에는 각 칸들이 빈칸으로 나타난다. 비트랑 워드 수를 맞춰줘야하는데, 이건 각 공정사의 가이드에 기준.......

SoC 산업 분석 [내부링크]

대부분 산업들이 SoC (System on Chip)로 가고 있습니다. BCG에선 매년 가장 혁신적인 그룹 50개를 선정하는데, Apple이든 Alphabet(Google)이든.. Amazon이든 자체 반도체를 설계하지 않는 회사가 없습니다. NVIDIA나 AMD 같은 반도체 설계를 전문으로 하는 회사가 아니어도, 자기가 쓸 반도체를 다 자기가 설계해서 씁니다. 클라우드를 운영하든, 자율주행을 위해 쓸 하드웨어를 만들든.. 이전에는 인텔에서 만들어주는 칩을 사서 썼다면, 지금은 &#x27;내가 쓰는건 내가 설계할테니까 공정만 해줘&#x27; 로 변하고 있어요. 애플의 M1 chip, Alibaba의 Ali-NPU, Amazon의 AWS Inferentia, Arm의 ML Processor, Baidu의 Kunlun, Google의 Tensor.......

기업 중심, 반도체 설계 과정 분석 [내부링크]

지난번 글에서 SoC 산업 분석을 했습니다. 요약하면, 산업이 SoC로 가고있다. SoC란, 각종 시스템이 한 chip에 올라가, PPA(Perfomance, Power, Area)가 우수한 chip이며, 기성복 같은 범용 반도체의 성능 한계에 가까워지며, 다양한 맞춤복 같은 전용 반도체들이 설계되고 있습니다. 흔히 스마트폰 등에 들어가는 AP도 SoC의 예입니다. 거미줄 같은 반도체 생태계를 최대한 쉽게 설명하고자합니다. 반도체 설계과정을 간단하게 요약하면, (1) IP회사 (뼈대들이 들어 있음.) -&#62; (2) Fabless -&#62; (3) Design house -&#62; (4) Foundry 순으로 갑니다. 저는 설계 구현 쪽으로 공부를 해왔기 때문에...1, 2, 3 과정에 대해 A4 한 쪽 분량으로 설.......

서울시립미술관을 다녀왔어요 [내부링크]

서울시립미술관은 도슨트가 잘 되어있는 것 같다. 아트샵은 따로 없었고, 카페가 있었다. 미술관 두탕 뛰고싶었으나 비가와서 집에 갔다. 끝~~

Tcl (Tool Command Language) 정리 [내부링크]

--- layout: post title: Tcl Download, How to use Tcl, 티클 사용법 명령어, 티클 다운로드, Tcl tk 使用方法, 下载 subtitle: How to use Tcl, How to download Tcl Tk tags: [Tcl, TK, Script] comments: true --- 본 포스트는 원나라님의 &#x27;tcl/tk 기본&#x27;을 토대로 공부하여 쓴 글입니다. 원나라님 감사합니다^^ Tcl(Tool Command Language)는 &#x27;티클&#x27;이라고 부르는 스크립트 언어입니다. 프로그래밍 언어보다 사용하기 쉬워서, 프로그래밍 언어로 완성된 프로그램(임베디드 분야에 자주 쓰임)에 대한 &#x27;관리&#x27;할 때 쓰입니다. 여기서 말하는 관리는, 프로그램의 실행과정을 바꾸거나 프로그램의 코드 이름을 수정.......

이론은 phD, 실무는 PE [내부링크]

석사와 박사의 차이로 유명한 영상 중에 하나인데, 볼 때마다 웃김ㅋㅋ PE는 한국으로 치면, 미국에서 취득하는 기술사 자격정도로 해석 가능. 학원가에선 PE가 변호사, 의사급이라는데.. 굳이 급나누기를 하면, 내 생각엔 변호사랑 의사가 위에 있다... 싶음. 왜냐하면 대학교에서, &#x27;로스쿨 갈거야~&#x27; / &#x27;메디컬 스쿨 갈거야~&#x27; 하면 &#x27;오~~!!&#x27; 소리 나오는데, &#x27;PE할거야~&#x27;에선 그런 반응은 없으니까. PE 준비하는 친구가 있어서, PE가 뭐고,,, 왜 phD 준비 안하고 PE를 준비하고있고,,, 들었다. PE의 의미중 하나는, (1) 미국 법, 주 법상 특정 분야에선 n명 이상의 PE를 두고 있어야 사업이 돌아가기 때.......

시립대 한양대 고민하다가 결국 한양대 가기로 [내부링크]

등록금이 두배 차이 났다. 시립대는 성적 장학도 있는데, 한양대는 없음... 장학이라곤, 군장학이나 고시장학 같은것만 있음;; 부모님한테 손 하나 안벌리고 자비로 가는거라.. 꽤 크게 느껴졌다. 둘 다 배울거 다를바 없을 것 같아서 시립대에 등록금을 넣었으나... 막판에 한양대로 바꿨다. 아직 연대랑 고대에 지원도 못해봤다는게 아쉬움이 남는다. 한대 가서 열공 하겠습니당!

알찬 하루. 강남역 크라임씬을 다녀왔다. [내부링크]

요즘은 회사에서 eda tool 사용을 연습중이다. 이 단계가 뭔지는 가르쳐주시지만, tool 사용법은 self study+직접 찾아가서 질문한다. 그래서.... tool 처음 쓸때 엄청 해맸다. 학교에서 할 때는 dc_shell -f으로 스크립트만 묶어서 실행했는데, 회사에선 make run으로 한다. 이건 누가 다 만들었는지 신기.... 쓰는 입장에서 수정 하고 그런건 비교적 스트레스가 덜하다. 강남역 크라임씬 카페를 다녀왔다. 1시간 정도 플레이 했다. 3팀으로 나눠서 했는데.... 나만 범인으로 잡혔다. 난 거짓말하면 바로 들어나고, 사람 몰아세우는것도 잘 못한다는것을 느낌 ㅋㅋ 착하게 살자. 이번에 너무 못해서, 아쉬움이 남는다. 회사에서 공기청정기를 새.......

아이패드+펜슬을 샀다 [내부링크]

당근마켓 구경하는데 누가 아이패드 미니 6세대 미개봉을 55만원에 올려주셔서 채팅 보냈는데, 저녁 11시였음에도 집앞까지 바로 와주신다+카드결제 가능하다고 해서 바로 사버렸다. ㅋㅋ 친구 : 펜슬도 샀어? 나 : 펜도 사야 돼? 친구 : 왜 펜을 사야하냐면.... 나 : 펜 사버림. 친구 : 종이질감 필름 샀어? 친구 : 굿노트 샀어? 친구 : 노트쉘프 샀어? 친구 : 펜슬 스킨 안사면 철가루가.... 사람이 무섭다 애플이 무섭다 아이패드 산 이유 1. 유튜브에 반도체 강의 올리고싶었는데... 태블릿 없으면 그림 그리고 공식 쓰기 너무 힘들었음. 2. 회의, 세미나, 강의 할 때 녹음하면서 노트 작성 할 필요가 생김 3. 대학원 다닐때 전공서적 3권+노.......

돼지는 배우는 중 [내부링크]

장어덮밥 배달로 시켜먹은 목살 숯불 쎄서 별로 회사 밥 사실 이거 말고도 회사 스낵바도 엄청 가구... 선배님들이 사주시는 음료 엄청 마심.. ㅎㅎ 밀크티랑 녹차.. 물어보는 것도 다 가르쳐주시고... 어떤 날은 옆에 앉으셔서 10시부터 6시까지 계속 봐주신적도 있음... 두 분에게 진~짜 많이 얻어먹고... 정말 많이 가르쳐주셔서 너무 미안하다. 티는 안 내려고 하지만. 뭔가 익명으로 선물이나... 그런거 하고싶다. 한 분은 정말 일 머리가 좋으신 것 같고, 한 분은 정말 다정함이 느껴진다. 일하면서 어려워하고 있으면 어느새 옆에 와서 뭐 어려워하는지 물어봐주시고, 알려주시거나... 찾아보시거나... 더 선배님들에게 대신 물어봐주신다.......

Design Kit이란? DK란? [내부링크]

Intel, AMD, Qualcomm, tsmc중 어떤 회사의 시가총액이 가장 높을까요? tsmc 혼자 3사를 합친 시가총액보다 높다는 것을 아시나요? 1. Fabless에서 Verilog를 통해 RTL code를 코드를 작성합니다. 2. Foundry에서는 and logic cell만해도 수백 수천개라서, 어떤 cell을 써야하는지 모릅니다. 3. 각 cell마다 아주 다양한 물성을 갖고, A 위치에는 B cell을 못쓰고.. C라는 사용처에서 쓸 것이라면 D cell을 써야하고,, 이런 복잡한 상관관계가 있습니다. 그리고 동작 속도를 너무 빠르게/느리게 요하면, B에서 D까지 데이터 전달이 잘 안 일어날 수 있고, 온도/전압 환경에 따라 칩이 구동이 되지 않을 수도 있습니다. 4. 디자인 하우스/디자인 팀.......

앞으로 기록에 대한 계획. [내부링크]

아래는 요즘 자주 듣는 노래. 코로나 끝나면 풀빌라 가고싶다. 최근에 수술했는데 회복하려면 2달은 필요해서,,, 기력이 없단 핑계로 병원에서 누워만 있다. 1. 회사 밖에서 스스로 공부한 내용, 구글링 하면 영어로는 나오는데 한국어로는 없는 내용들에 한해서만 포스팅을 할 계획. 현재 깃허브 / 네이버에서 블로그와 유튜브를 운영중임. 학부동안은, 공부한 것에대해 포스팅 작성을 전부 다 했지만, 직장의 팀원이 된 이상, 직장에서 연구중인 분야에 대해서 기술적인 부분을 쓰지 않을 것임. 앞으로 올리는 반도체 설계 검증 포스팅은, 현대 반도체 설계 검증에 대해 어떤 문제가 있고, 어떤 방법론들이 있다는 것 정도만 언급할 예정. 2. 책.......

[2021 마이 블로그 리포트] 블로그 빅데이터로 알아보는 '2021 내 블로그 스타일' [내부링크]

ㅎㅎㅎ 내년엔 더 열심히 해보는 것으로! 12월~3월 포스팅 계획 없습니다. 회사와 공부에 올인하고싶다는 생각이 많이 들어서! 다녀오겠습니다.

백신 접종 무용론 확산 [내부링크]

https://link.springer.com/article/10.1007/s10654-021-00808-7 Increases in COVID-19 are unrelated to levels of vaccination across 68 countries and 2947 counties in the United States 2차 맞고 열 40도까지 올랐는데.... 부스터 맞아야하나

영어로 직업 물어보기 Do you have some sort of job? [내부링크]

일반적으로는 What do you do? 라고 하는데, 이렇게 물어보면 for my life? in my life?로 반문이 올 수 있다. 왜냐하면, 오늘이 주말 아침이라면, 상대방은 질문을 what do you do on weekend?인지 뭔지 알 수 없기 때문. 그렇다고 what is your job?이라고 물어보면, 직업이 없는 사람에겐 당황스러운 질문이 될 수 있다. 그래서... 나는 뭐를 쓰냐, &#x27;Do you have some sort of job?&#x27; 이렇게 물어보면, 현재 직업이 없는 사람에게도 실례가 아니고.. 반문이 올 일도 없다. 앞으로는 종종 이런거 포스팅도 할 예정.

IPTIME A3004-NS-M 리뷰, 5G, 빔포밍, Multiple User – Multiple Input Multiple Output(다중 사용자 - 다중 입력 다중 송출) [내부링크]

임대인님이 기가인터넷을 설치해주셨고, 이전 공유기가 매일 지맘대로 꺼져서... 10년만에 샀다. 사실 이것도 돈 아까워서 당근마켓에서 4만원에 샀다. 이렇게 생겼다. 설명서를 참 많이도 싸주셨는데, 누가 공유기 설치하는데.... 50페이지가 넘는 매뉴얼을 볼지.. 간단하게 한페이지로 요약하고, QR코드 찍어서 상세 메뉴얼 볼 수 있게 하면 되는거 아닌가; 오히려 더 불편함. 이렇게 생겼구, 꽤 크다. 1기가인터넷은 충분히 처리해내고, MU-MIMO 기술이 들어가 있어서, 많은 기기를 동시에 사용해도 지연현상이 타 공유기에비해 덜하다. 그리고... 본체와 선 모두 검정색이라 아주 깔끔해보인다. 3분 정도 사용했는데 만족스럽다. MIMO – Mul.......

입사하고 찍은 사진들 [내부링크]

사실 찍은 사진도 많고 회사 자랑할 것도 많은데... 인터넷에 무서운 사람 많다보니 내가 다니는 회사 이름을 알리기가 꺼림칙해서 몇장 못 올림

크리스마스가 어쩌구 저쩌구 관심 없구 [내부링크]

석사과정 두군데 넣어봤는데, 시립대에서 가장 먼저 연락이 왔고 그다음 한대에서 연락이 왔다. skypk가 모집할때는 대학원 생각이 없어서 넣어보지도 못했다. 그래서 아쉬움이 좀 남는다. 금요일 4시까지 등록인데.. 아직 못 정했다. 언젠간 대학원 가고싶다는 생각은 있는데, 지금은 회사에 입사한지 얼마 안되서.. 회사에 더 신경 쓰고싶다는 마음이 크다. 내가 지원할 때는.. 다른 대학원들의 모집기간이 이미 끝나있어서, 시립대랑 한양대 딱 두개만 넣고 면접 봤다. 다른 대학원에 지원 할 기회가 없었어서 아쉬움이 남는다. 요즘은 반도체테스트회로설계 쪽으로 관심 있는데, 어떤 선택이 후회 없을지 모르겠다.

2021 Chase awards [내부링크]

&#60;2021 Chase awards&#62; Movie - Non-fiction Series - Squid game Book - The Notebook, The Proof, The Third Lie: Three Novels Exhibition - MMCA 《권민호: 회색 숨》 Music - Howdah, life is wet, remember(katie) Sentence - Every time he went on stage, he said the same thing over and over again and went on stage. &#x27;I love the audience. I love the audience.&#x27; &#60;How to Win Friends &#38; Influence People - Dale Carnegie&#62; Stuff - herman miller chair, goose comforter Habit - Miracle Morning Respect - my company CEO

[본격 ESTJ의] 2022 새해 계획 및 소망 [내부링크]

1. 전국 미술관 일주 미술관 여기저기 가보고 싶다는 마음 자체도 있지만, 미술관 다녀온 기록을 남기고싶다. 아마도,, 여기에 남길듯 싶다. 2. 회사 컨플루언스 매주 한번 업데이트하기 (회사 내에서 정보 공유 플랫폼. 위키피디아 느낌?) (1) 회사에서 배운걸 내 개인 블로그에 일절 올리지 않고, 회사의 컨플루언스에 올리기로 결심함. (그래서 요즘 내 블로그에 포스팅이 없는 것!) 회사에 애정이 커서, 대격변이 일어나지 않는 이상, 계속 다니고 싶다. 신입이라 지금 배우는 것도 많고, 내가 배우면서 추가적으로 자료들을 찾아보니.. 소소한 꿀팁들을 선배님들께도 공유 할 수 있고, 후배님들의 공부 자료가 된다고 생각하니 만들 때마다.......

나는 내 일을 좋아해 나는 내일을 좋아해 [내부링크]

1. 오늘의 점심 거의 머슴밥 수준;; 회사식당 주방장이 현재 공석이라 음식이 이렇게 나왔다. 점심도 시켜먹을걸;; 회사에서 식사비 지원이 15,000원씩이라 저녁엔 목살도시락 시켜 먹었다. 물론 회사에 구내식당 있으므로 거기서 무료로 먹어도 됨. 2.오늘의 운동 아침에 벤치프레스랑 인클라인 위주로 5x5 1시간했다. 3.오늘의 업무 회사에서 나는 Design Kit와 SoC flow 세미나를 준비중이다. 기본의 중요함을 느낀다. SoC Flow에서도 Rtl과 스펙에 대한 Feasibility가 제일 중요하다. 4. 오늘의 배운점 1. 남이 쓴 정보는 내 눈에 쉽게 들어오지 않는다. 2. 배운 것도 금방 까먹는다. 헷갈렸던 이유와 새로 배운걸 스스로 정리하자. 3. 남이.......

요즘 너무 좋아하는 디자이너 토미캐시 [내부링크]

이개 포스트모더니즘인듯 ㅋㅋ 너무 귀엽다. 가격은 싼듯 비싼듯. 70유로ㅋㅋ 브랜드로고 떡하니 박혀있는거 안좋아하는데, 릭오웬스는 뭔가 타투 레터링 같아서 나쁘지않군 두번째 세미나 발표를 했다. 세미나 끝나고 수고하셨습니다~ 라는 말엔 무감각했는데, 점심 먹으러갈때나.. 퇴근할때 오늘 세미나 수고했어~~ 이 말은 정말 달콤하더라

만족스러운 한 주 [내부링크]

금요일. 10시 출근 5시 퇴근했다. 저번주엔 1시 퇴근했는데... 물론 1pm. 근데 세미나 전에는 3AM에 퇴근하고 그랬음..ㅎㅎ 그냥 내 욕심 때문에. 회사 너무 좋다. CEO님부터~~ 팀장님~~ 팀원분들 모두 정말 친절하고~~~ 맛있는거 자주 사주시고~~~ 일 물어보면 진짜 잘 가르쳐주시는데... 똑똑하신게 보인다. 1을 몰라서 물어보면 10을 얻어온다. 물어보기가 너무 미안한게... 바쁘신데.. 어떻게든 내가 쉽게 이해하도록 머리 싸매고 가르쳐주시거나~~ 모르는건 찾아서 공부하시고 가르쳐주신다. 일찍 퇴근해도 집에서 PPT 다시 보고, 디자인 메뉴얼 다시 보곤한다. 얼른 적응해서 나도 도움 되는 팀원이 되고싶다. 이번주 세미나 하고 소소한 칭.......

CDC란, RDC란, Lint란. Clock Domain Crossing, Reset Domain Crossing in vlsi [내부링크]

한 클럭으로만 하는 single clock system에선 cdc를 고려할 필요가 없습니다. CDC(Clock Domain Cros...

디지털 공학 정리. 카르노맵, 부울식 정리, 밀리 무어 차이, 동기식 비동기식 D Flip flop, NAND NOR 변환, 카운터 설계 [내부링크]

학기가 끝나기 전에 배웠던 전공 복습 중. 자료구조나 알고리즘 같은 건 눈으로만 다시 봤고, VLSI는 ...

[Verilog] NBA(NonBlocking Assignment &gt;=), BA(Blocking Assignment =) 차이. [내부링크]

Verilog를 하면서, 왜 NBA를 쓰는지 모르고, NBA가 단순히 &#x27;동시에 실행&#x27;이라고 알고 있...

SEDEX 2021, 반도체대전 후기 [내부링크]

2021 SEDEX 반도체대전을 참여했습니다. 10월 27일~29일 (수목금) 운영 되었고, 반도체 대전을 참여하...

DC, DCT, DCG 차이. Synopsys Design Compiler Topographical Graphical Mode Wire Load Model [내부링크]

1. DC(dc_shell) - WLM 사용하는 Synthesis (미세공정에선 사용 힘듬.) 2. DCT(dc_shell -topogra...

OCV, AOCV, POCV, PVT, CRP, CRPR란? On Chip Variation [내부링크]

반도체의 속도는 PVT Oerating Condition의 영향을 받습니다. Process가 짧고, Voltage 높고, Temp...

반도체 칩 공정 과정 반도체 8대공정 半导体的8大工艺 [내부링크]

반도체 공정에서 가장 중요한 세가지 : 미세공정, 수율개선, 양산 1. 웨이퍼 제조 (1) 규암을 정제해서 실...

SoC 검증에서 DFT란. BIST BIT JTAG SCAN, DFT engineer [내부링크]

DFT는 반도체 Manufacturing Test의 일부입니다. DFT(Design for test)는 설계를 테스트 하는 검...

SiFive, 세미파이브 회사 하는 일, RISC-V란? 什么是 SEMIFIVE、SIFIVE 公司? [내부링크]

RISC-V는 __오픈소스__ ISA (Instruction Set Architecture)입니다. core를 만들기 위한 명령어...

ATPG란? DFT란? FLOW, Alorithm 핵심 정리. TestMAX, TetraMAX 수행과정 [내부링크]

DFT의 단계에 함께하는 ATPG란, 디지털 회로의 테스트 패턴 생성 알고리즘 입니다. (Automatic Te...

Tcl Download, How to use Tcl, 티클 사용법 명령어, 티클 다운로드, Tcl tk 使用方法, 下载 [내부링크]

Tcl(Tool Command Language)는 &#x27;티클&#x27;이라고 부르는 스크립트 언어입니다. 프로그래밍 언어...

FPGA, ASIC, VLSI 구글링 팁. 검색 잘하는 법 如何搜索得好,谷歌 [내부링크]

ASIC에서 &#x27;CTS&#x27;가 나왔는데, 이게 뭔지 모르겠다? 1. Googling 검색할 때, 뒤에 in vlsi...

세계, 국내 반도체 시장 정리. 시장 동향과 회사, 개발 순서 半导体市场 市场动态、公司、开发顺序 [내부링크]

1. COVID-19이후로, 비대면과 무인화가 빨라졌고, 전기차가 승승장구하고있다. -&gt; 회사원 뿐만아니...

4학년 2학기가 되어서, 진로에 대해 [내부링크]

&#x27;진로에 대해 방황하는 것 때문에 스트레스 받을 필요 없다.&#x27; 당장 취업을 해야하는데 진로를 못...

SoC, ASIC 13문 13답. [내부링크]

1. SoC란? SoC는 System On Chip. 프로세서, 메모리, 주변장치 등을 한 칩에 올린 것이다. 2. SoC...

미술관에 가는 이유 [내부링크]

미술관, 그거 왜 가는거야? 라는 친구의 질문에 저는 얼버무렸습니다. 저는 국립현대미술관에서 잠시 일했...

블록체인이란? 비트코인이란? 가상화폐 암호화폐 차이 [내부링크]

블록체인 : 정보를 블록 모양으로 만들고, 블록들을 체인처럼 엮어서 정보를 저장하는 방법. 블록체인은 탈...

무어의 법칙 그 다음. 저전력 설계의 시대. [내부링크]

무어의 법칙이 깨졌다고합니다. 그러면 산업의 新로드맵은 무엇일까요? 쿠미의 법칙 : 약 2년마다 전력효율...

SoC ASIC Verification Engineer 되는 법 나는 뭐 했을까 + 난 뭐가 하고싶다. (feat.TMI) [내부링크]

ASIC SoC Verification Engineer가 되기 위해서 무슨 능력이 필요할까? + 나는 뭐했을까? (feat.T...

삼성전자 회사 위치, 생산기지, 전체 공장 현황 [내부링크]

- 구 본사, 현 본사 - 삼성 타운 (구 본사) - 서초구에 위치하는 삼성타운은, 삼성전자의 매각으로 현재 삼...

지능형반도체란? 소프트웨어 하드웨어 차이, 반도체가 AI에 미치는 영향 [내부링크]

소프트웨어와 하드웨어. 소프트웨어는 생각이고, 하드웨어는 몸입니다. 몸에서 느낀 감각이 뇌로 들어오면 ...

메모리 반도체 정리. RAM, ROM 개념부터, 차세대반도체 PRAM, FeRAM, MRAM, ReRAM, PoRAM까지. [내부링크]

기억장치는 주 기억장치, 보조 기억장치로 나뉜다. 주 기억장치는 컴퓨터 지금 사용할 정보들을 위해, 빠른...

어떻게 해야 부의 축적을 할 수 있을까 [내부링크]

대학교 2학년 때, 아르바이트에서 만난 대표님의 자산은 1000억원이 넘었다. 유니콘 기업 같은게 아니라, 4...

병렬처리 방법론. 멀티 코어, 멀티 프로세스, 멀티 쓰레드 기법 개념 정리 [내부링크]

병렬처리(Parallel Processing) - 일의 다수의 프로세서 분담, 동시 처리 기법 많은 수의 프로세서들로 ...

반도체 나노 공정 뜻 의미, CMOS MOSFET 개념 半导体纳米工艺意义,CMOS MOSFET 概念 [내부링크]

반도체의 5나노 공정 뜻은 채널 길이가 5nm라는 것입니다. 채널 길이가 무엇인지를 소개하기 위해, 현재 가...

ASIC 반도체 설계 순서 ASIC design flow ASIC半导体设计时序流程 [내부링크]

ASIC 반도체 설계 흐름은 크게 아래와 같이 구성됩니다. 견적서 제공 -&gt; 기능 설계 -&gt; 검증 -&gt...

Verilog RTL coding으로 Stopwatch 설계하는법 베릴로그 스톱워치 스탑워치 코드 如何使用 Verilog RTL 编码设计秒表 Verilog 秒表代码 [내부링크]

Stopwatch code는 아래에서 다운로드 받을 수 있습니다. https://github.com/gc-na/rtl_stop_watch 스탑워...

프로그래밍 잘하는 법. C언어 꿀팁 (오류 코드 줄이는 자잘한 팁들) How to make an errorless C Language 如何擅长编程。 C语言小技巧(减少错误代码的小技巧 [내부링크]

높은 생산성을 가지면 적게 일하고 많이 벌 수 있습니다. 회사 코딩테스트도 생산성 평가 시험이라고 생각...

ASIC STA, PI 핵심 개념 정리. 사실은 면접 질문 정리. ASIC STA、PI 关键概念总结。 组织面试问题。 [내부링크]

ASIC에서 Front end! 그중에서 PI팀! 특히 STA를 위해 필요한 핵심 개념을 정리해보겠습니다. 참...

다재다능한 친구들에게 배운 것 [내부링크]

주변에 다재다능한 친구들 보면 항상 부러웠다. 본업도 충실히 하면서, 4개국어, 5개국어, 음악도 하고, 그...

Stopwatch Verilog RTL code에 DC(Designe Compiler)로 Constraint file 만들고 적용하기! [내부링크]

지난번에 만든 Stopwatch RTL code에 이어, 그 파일에 constraint file을 적용시켜보는 실습을 하겠습...

AMBA protocol란? 암바 프로토콜 핵심 정리 AHB, ACE, APB, AXI, CHI 什么是 AMBA 协议? Amba 协议密钥汇总 AHB、ACE、APB、AXI、CHI [내부링크]

프로세서, 주변장치, 메모리를 설계했다면 그 다음 차례는 회로간의 연결입니다. 이 연결을 규약(Protocol...

vi editor 사용법 명령어 핵심 정리 vi编辑器使用方法命令汇总 [내부링크]

유닉스 환경(리눅스, 맥 등..)에서 가장 많이 쓰이는 문서 편집기인 vi editor를 핵심 요약해보겠습니다. ...

AI, 인공지능, 머신러닝, 딥러닝, 지능형 반도체 핵심 개념 정리 人工智能、机器学习、深度学习、智能半导体核心概念总结 [내부링크]

1. 인공지능 인공지능이란, Machine이 &#x27;인식 -&gt; 추론 -&gt; 결론&#x27; 하는 것을 말합니다. Nar...

NPU란? AI accelerator란? NPU TPU 차이, Neural engine [내부링크]

NPU(Neural Processing Unit, 신경망 처리장치) NPU는 인공지능의 핵심이라고 할 수 있는 딥러닝(...

컴파일러, 인터프리터 차이. C언어, 파이썬 차이. C/C++, Python [내부링크]

C는 컴파일 언어, Python은 인터프리터 언어입니다. 프로그래머들은 보통 프로그램을 만들 때. C/C++, ...

Synthesis의 핵심! Design Compiler 수행 과정, DC flow 핵심 개념 정리 Design Compiler流程,DC流核心概念总结 [내부링크]

1. DC에 필요한 setupfile을 만든다. .synopsys_dc.setup 2. HDL file(Verilog HDL, VHDL 등)...

ASIC Verification Engineer 하는 일, 연봉 검증엔지니어 ASIC验证工程师职位,薪资 [내부링크]

제 주변인을 참고하여 쓴 글입니다. 저는 Linkedin, Monster Jobs, Job Korea 많이 보고있습니다. 한...

류이치 사카모토를 아시나요 [내부링크]

https://youtu.be/DHy1iKBtTq4 https://youtu.be/btyhpyJTyXg https://youtu.be/kf0HYeQp760 11...

잡초조차 밟혀가며 자란다. [내부링크]

누구에게나 비인격적으로 대하는 사람들이 있다. 비인격적 행동의 도가 지나치면, 누군가의 사적 정의 실현...

대학생이 된 것을 실감하지 못했거나, 개강한 것을 실감하지 못한 우리를 위하여. 07년 한예종 입학 축사 [내부링크]

코로나 때문에 모든 일이 늦어지는 요즘, 신입생 여러분들은 축하도 못 받은 채, 저를 포함한 헌내기들은 ...

낮은 지지율과 민주주의 [내부링크]

전에는 심플한게 제일 좋았는데 점점 투머치한게 좋다. 막 예전에는 꽃도 산 적 없고, 향초에도 관심 없었...

20190420 [내부링크]

머리 자르기 전 덥수룩한 내 모습. 내 주변 여자사람들은 긴게 낫다는데, 나는 긴거 불편해요. 공부할 때 ...

코로나 파급효과에 의한 신성통상 해고와 자유민주주의에 대한 내 생각 [내부링크]

정리 신성통상은 우리나라 패션업 ‘코스닥 등록’ 회사임. 이 회사는 작년 유니클로 불매에서 매출이 폭등...

인생론 요약 [내부링크]

헛된 희망을 버리고 현실을 직시하라 잡히지 않는 파랑새를 쫓지마라 인생에 너무 큰 기대를 갖지마라 아직...

인간관계론 요약 [내부링크]

1. 인간관계의 3원칙 (1) 꿀을 얻고싶다면, 벌통을 걷어차지 말라. (2) 상대의 취향 혹은 노력을 겨냥한 칭...

중간고사 끝! [내부링크]

어저께 중간고사가 끝났다. 시험 굉장히 잘 본줄 알았는데, 실수한게 좀 있어서 기말고사 열심히 해야할듯 ...

홍콩보안법에 대한 트럼프의 결정, 그에 따른 경제 및 정치 흐름선도 [내부링크]

중국이 홍콩에 보안법 강행을 시작했고, 트럼프 대통령은 홍콩이 가졌던 ‘자유무역국 등 다양한 혜택’을 ...

George Floyd 죠지 플로이드(조지 플루이드) 사건 정리와 내 생각 미국 시위 미국 폭동 [내부링크]

2020년 미국 미네소타주에서 위조지폐 사용 신고를 받고 경찰 출동, 가게 주인에게 인상착의 정보를 받고 ...

언어의 의미론과 화용론 [내부링크]

언어에는 의미론과 화용론이 존재한다. 전자는 언어의 주변 맥락과 무관하게 그 자체만을 논하는 것이고 후...

삶에서 오는 고민들에 대한 해답지를 찾았다. [내부링크]

엥겔지수 폭발하는 대학생의 나트륨 폭탄 식사 이 때 떡볶이 맛있게 먹었는데 기억난다. 나는 단 음식 아이...

그런데 그 일이 실제로 일어났습니다. #삼성중공우 [내부링크]

작년에 4만원 하던 주식이 수요일에 744,000원. 거래과열로 목요일에 거래정지, 오늘 아침에 장 열리자마자...

인천국제공항 비정규직 정규직 전환, 공정한가? [내부링크]

2017년 5월, 대통령께서 취임하자마자 가장 먼저 달려간 곳이 인천공항이었다. 인천국제공항(이하 인국공) ...

어려운 것을 배울 때에 비로소 실력이 는다. [내부링크]

기말고사 전자공학실험 보는데 내 회로가 불났다. ㅋㅋㅋㅋㅋ 시험 보는데 내쪽에서 갑자기 “팍!” 소리 ...

서울시장 박원순 유언장 내용 공개, 앞으로의 공소권에 대하여. [내부링크]

박원순 서울시장은 전직 박원순 비서에게 &#x27;성추행 혐의&#x27;로 고소를 당한 후, 2020년 7월 9일 오전...

그냥 뭔가 남겨야 할 것 같아서 [내부링크]

요즘 내가 배경화면으로 사용하는 사진. 볼 때마다 웅장한 느낌이라 좋고, 이 동영상 처음 봤을 때 감동도 ...

근황, 일기, 매매일지 몰아쓰기 [내부링크]

최근 근황 및 일기 몰아 써보기. 2020년 7월 중순까지는 비 한 방울 안 오더니 7월 말부터 하늘에 구멍 뚫...

[호텔편] 폭락한 주식 사서 호텔 골드, 플래티넘 멤버십 받기1탄 ! [내부링크]

몇몇 기업들은 투자 유치를 위해 주주할인 프로모션을 진행하고 있습니다. 특히 크루즈, 호텔, 항공 같은 ...

[~9/9] 마이프로틴 대란 80%할인. 추천인, 할인코드 있어요! [내부링크]

영상은 22초부터 2배속으로 후루룩 보세요! 영상에 자세한 설명 있고 다른 영상엔 마이프로틴 리뷰들 있어...

국가자격시험 59.999…점은 합격? 불합격? feat. 0.999…=1인 이유를 수학적으로 증명하자. [내부링크]

첫번째, 0.999…9와 0.999…는 다른 값입니다. 끝의 값이 정의되지 않고 한없이 이어나가는, 1과 0.999사이...

0ㅣㄹ7ㅣ [내부링크]

운동하고나서 맞는 양복이 없어서 차콜이랑 네이비 샀다 오늘 오면 입어봐야지! 운동하고나서 바지 허리는 ...

마프 대란 할인코드로 구매한 후기 (배송기간, 할인률, 제품샷, 마이프로틴 인기있는 이유!) [내부링크]

바라님 이벤트를 통해서 제품을 제공받고, 솔직하게 쓴 후기입니다. 마이프로틴 구매하러가기 : https://ti...

마이프로틴 간식 초코볼, 와퍼, 육겹바, 오트바 솔직 후기. [내부링크]

바라님 이벤트를 통해서 제품을 제공받고, 솔직하게 쓴 후기입니다. 마이프로틴 구매하러 가기는 아래 링크...

행복에 대한 고찰. 돈, 명예, 사랑. 니코마코스 윤리학과 아리스토텔레스. [내부링크]

우연찮게 기숙사 지하 1층 작은 책꽂이에 있는 니코마코스 윤리학이라는 책을 읽었다. 관심있는 주제는 아...

코로나 격리 후기 [내부링크]

학교 수업에 같은 수업 듣는 학생의 가족이 코로나에 감염되서, 그 학생의 검사결과가 나오기 전까지 그 학...

코로나가 밉다. [내부링크]

코로나 때문에 너무 많은게 꼬여버린다. 올해 자격증이나 어학 신청하거 다 취소되고, 나는 전공 실기시험 ...

행복한 성탄절 되길, [내부링크]

개인적으로 절에서 보내는 크리스마스를 좋아하는데, 올해는 그러지 못해서 그냥 집에서만..^^ 2021년엔 코...

난생 처음 가지치기해보고 교동에서 밥 먹고옴! [내부링크]

토요일에 어머니랑 이모랑 사과, 복숭아, 자두 나무 가지치기 하러왔다.ㅋㅋㅋ 이거 할 돈이면 과일 몇 십 ...

흔적 남기기! [내부링크]

국립 현대 미술관에서 근로 장학 프로그램에 참여하게 되었다. covid 이후로 아버지 사업은 마이너스라서 ...

반도체 설계 회사 합격 + 면접 질문 [내부링크]

본인은 4학년 학부생이고, 반도체 설계 회사에 합격했습니다.(분당) 대부분이 수강한 강의에 대한 질문에 ...

길게 보면 아무것도 아닐 일 [내부링크]

졸작+ 대학+회사+근로장학+비밀리에 준비하고 있는 다른 일들... 하다보니까 졸작 완성을 못해버렸다. 졸작...

[내부링크]

개 보기를 돌 보듯 하는 나인데, 까불거나 핥지도 않고 팔 기대면서 꼬리만 살랑대서.... 귀엽네 쉬는시간...

요즘 할까 말까 생각하는거 [내부링크]

1. 다시 유튜브 시작할까 생각중... 한국이 반도체 강국이라면서 유튜브에 한국어 자료가 너무 없음.. 내가...

FPGA, ASIC 차이, SoC, ASSP 등 개념 핵심 정리 (주문형 반도체 / 범용반도체 / 특수용도 반도체) [내부링크]

2020년 애플에서 자체 SoC로 개발한 M1 Chip을 탑재한 맥 제품들을 발표했습니다. 기존 제품과 다른 점...