jdancor의 등록된 링크

 jdancor로 등록된 네이버 블로그 포스트 수는 293건입니다.

Library Definition [내부링크]

It’s all about the standard cells… • The library definition stage tells the synthesizer where to look for leaf cells for binding and the target library for technology mapping. - We can provide a list of paths to search for libraries in - And we have to provide the name of a specific library, usually characterized for a single corner: - We also need to provide .lib files for IPs, such as memory macros, I/Os, and others. Make sure you understand all the warnings about the libs that the synthesizer

STA, Timing Analysis [내부링크]

Synchronous Design - Reminder • The majority of digital designs are Synchronous and constructed with Sequential Elements. - Synchronous design eliminates races (like a traffic light). - ipelining increases throughput. • We will assume that all sequentials are Edge-Triggered, using D-Flip Flops as registers. • D-Flip Flops have three critical timing parameters: - t cq : clock to output: essentially a propagation delay - t setup : setup time: the time the data needs to arrive before the clock - th

[추천] 엄마밥상, 불고기백반 맛집 가성비 최고 잠실 맛집 [내부링크]

[추천] 엄마밥상, 불고기백반 맛집 가성비 최고 잠실 맛집 직장인들이 가기 좋은 곳 엄마밥상 주소 : 서울 송파구 올림픽로35길 112 잠실에서 한식이 먹고 싶어져서 여기 저기 검색하다 찾은 곳이에요 불고기 백반 한그릇 먹고 싶어서 검색 해서 가보았지요! 위치는 장미상가 지하에 있었어요 gs더프레시 잠실장미점 아래로 내려가시면 식당가가 있는데 거기에 있어서 찾기는 살짝 힘들었지만 불고기 백반을 먹겠다는 의지로 갔어요 ㅋㅋ 요렇게 되어있는 간판을 잘 찾으셔야 되요 !!! 얼마나 돼지 불고기가 먹고 싶었으면 메뉴는 돼지 불고기 돼지갈비 소불고기 요렇게 있는데 가격도 매우 저렴하네요 구천원에서 만천원이니깐 백만에 고기 메뉴만 달라지는것 같아요 엄마 밥상이지만! 아저씨 사장님께서 열심히 고기를 굽고 있으셨어요 그래서 가게 앞에 가자 마자 바로 고기 향이 식욕을 자극합니다 ㅋㅋ 구천원 짜리 밥상이 .. 너무 훌륭해요 고기도 잘 구워져서 맛있고 직화로 구우셨는지 불맛이 좋더라고요 김치전은 서비

[추천] 민들레 떡볶이, 역삼 줄서는 맛집 [내부링크]

[추천] 민들레 떡볶이, 역삼 줄서는 맛집 직장인들 점심 저녁으로 떡볶이 땡길때 가기 좋은 곳!! 데이트로 가기도 좋은곳 민들레떡볶이 주소 : 서울 강남구 논현로94길 11 2층 시간 : 월 ~금 11:15 - 21:00 15:00 - 17:00 브레이크타임 20:00 라스트오더 토요일 휴무, 일요일은 다섯시 까지 역삼역 근처 다니다 보면 점심에 항상 줄서 있는 떡볶이 집이 있어요 민드레 떡볶이 집인데 궁굼해서 다녀와봤어요! 2층에 매장이 있는데 2층까지 올라 가는 계단에 점심에 사람들이 항상 줄 서서 있더라고요 오징어 , 우삼겹 소세지 순대 오뎅 떡볶이 다양한 메뉴를 가지고 있네요? ㅋㅋ 매장 느낌은 레트로 느낌이 물신나요 보통 떡볶이 집이 아니라는게 인테리어에서 느껴집니다 ㅋㅋ 떡볶이는 요렇게 메뉴판에 표시해서 주문하면 되요 인원수 대로 주문해 달라고 되어 있네요 튀김 세트는 인원에 추가 되서 둘이 가서 떡볶이 하나 튀김세트 하나 이렇게 시켜도 되더라고요 그리고 떡볶이는 오징어

[추천] 오한수 우육면가 선릉점, 선릉 맛집 생활의 달인 만두 존맛 [내부링크]

[추천] 오한수 우육면가 선릉점, 선릉 맛집 생활의 달인 만두 존맛 직장인 점심 저녁으로 최고 홍콩 우육탕면 도가니탕면 완탕면 오한수 우육면가 선릉점 주소 : 서울 강남구 테헤란로 313 시간 : 월 ~금 10:30 - 20:30 15:00 - 17:00 브레이크타임 선릉역 5번 출구에서233m 왜 지금까지 이 식당을 몰랏을까요... 동료들 따라서 방문했다가 진짜 맛있는 우육탕면을 먹고 왔어요 !!! 알고 보니 생활의 달인에 나온 맛집이었고 체인점 이었네요 이른 시간에 방문 했는데 사람이 많이 있더라고 평소에 얼마나 사람이 많았는지 입구 옆에는 줄서는 라인까지 준비 되어 있었어요 자리 마다 메뉴판이 붙어 있고 메인 메뉴로 우육탕면, 도가니탕면 완탕면 이 있고 만두가 엄청 맛있다고 하더라고요 저녁에 술안주로 전골 메뉴들이 있는데 전골 메뉴는 다음에 와서 먹어봐야 겠어요! 기본 자리 셋팅이에요 양념장도 자리마다 준비 되어 있네요 와 진짜 우육탕면 너무 맛있어요 !!!!! 면 추가 또는

아산병원 산부인과 출산 후 1인실 리뷰 [내부링크]

아산병원에서 태어난 우리 애똥이 애똥이를 위해 1인실을 신청 하였어요 1인실을 쓸 수 있을지 없을지는 당일날 되어봐야 알 수 있는 거더라고요 누가 어떤 일로 먼저 출산 해고 1인실을 사용할 수 있고, 없고 상황에 따라 다르기 때문이었어요 ㅠㅠ 1인실을 간절하게 쓰고 싶었거든요 출산 예정시간에 맞춰 전날 방문 예정 시간을 문자를 통해 알 수 있는데요 저희는 일찍 수술이어서 입원 수속을 위해 소아 전문응급센터에 가서 수속을 받을 수 있었어요 아산병원 신관 건물 앞에 소아 전문 응급센터가 있어요!!! 다른 수속 하는 곳이 미리 열기 전 이라서 그랬던걸로 기억해요 여기에 가서 출산 떄문에 수속하러 왔어요 하니깐 해주시더라고요 여기에서 1인실 여부를 확인 할 수 있어요!!! 수속하고 수술받으러 산모가 들어가게 되면 그동안 남편은 연락이 오기 전까지 배정 받은 1인실에 가서 대기 하게 됩니다 산모가 수술들어가게 되면 남편에게 문자로 연락이 가게 되고 수술들어가면 15분 정도 이후에 아이가 신생

[추천]오박사네돈까스, 옛날 스타일의 돈까스 둔촌동 성내동 맛집!! [내부링크]

[추천]오박사네돈까스, 옛날 스타일의 돈까스 둔촌동 성내동 맛집!! 오박사네돈까스 주소 : 서울 강동구 양재대로 1335 시간 : 화 ~일 10:30 - 21:40 매주 월요일 휴무 둔촌역 3번출구 500m 박태수안경점 골목 돈까스가 생각나는 주말에 성내동에 가장 많은 리뷰가 달린 돈까스집을 찾아보다가 오박사네 돈까스 집을 발견했어요 사실 이전에 몇번 다녀왔었는데 이렇게 유명한 맛집인지 모르고 그냥 맛있게만 먹었는데 오늘은 리뷰도 쓸겸 맛있게 돈까스를 먹고 왔어요 !!! 간판에서 얼마나 오래된 맛집인지 느껴지네요 ㅎㅎ 이 동네에 오래 살았던 선배가 초등학교 때부터 먹었던 맛집이래요 오픈 시간에 맞춰 방문했는데 이미 손님들이 ... 있는거 보니 맛집이 확실합니다 메뉴는 돈까스 메뉴들과 튀김과 우동이네요 부모님들이 아이를 데리고 온 손님들이 많이 있었어요 사장님과 친하게 이야기 하는 오래된 손님들이 있네요 ㅋㅋ 인품이 좋으신가봐요 오늘은 오박사정식 + 해물볶음우동을 주문했어요! 맨 처음

홈커피HCR, 하남 데이트 코스 추천 [내부링크]

홈커피HCR, 하남 데이트 코스 추천 하남 카페 추천 정원이 예쁜곳 흠카페 가든과 루프탑 테라스가 있는 카페 홈커피HCR 주소 : 경기 하남시 서하남로418번길 30 HCR 흠커피 시간 : 매일 10:00 - 22:00 21:30 라스트오더 하남 이성산성을 나들이겸 산책하고, 커피 한잔 하기 좋은 카페가 생겼길래 다녀왔어요! 이성산성 앞에 맛있는 밥집과 괜찮은 카페가 기존에도 많았는데 홈커피 HCR을 지나가면서 보니 정원을 이쁘게 꾸며놓은것 같아서 방문하게 되었어요 원래 여기 다른 매장이 있었는데 카페로 새로 탄생했어요!! 카페에 들어가면 좌우로 공간이 나눠져 있고 2층 까지 실내 공간이 있고 3층에 루프탑 까지 있더라고요 1층 건물 반대 편으로 나가면 가든을 이쁘게 꾸며 놓으셨어요 조금 더 날씨가 시원해지면 손님들이 모두 야외에서 커피를 드실것 같아요 오늘 점심은 아직 더워서 그런지 실내에 사람들이 많았어요 커피 메뉴는 카운터에 준비가 잘 되어 있고 그 오른쪽에 베이커리가 준비 되

Basic Synthesis Flow [내부링크]

• Syntax Analysis: Read in HDL files and check for syntax errors. • Library Definition: Provide standard cells and IP Libraries. • Elaboration and Binding: Convert RTL into Boolean structure. State reduction, encoding, register infering. Bind all leaf cells to provided libraries • Constraint Definition: Define clock frequency and other design constraints • Pre-mapping Optimization: Map to generic cells and perform additional heuristics • Technology Mapping: Map generic logic to technology librar

More list commands - lsearch, lsort, lrange [내부링크]

Lists can be searched with the lsearch command, sorted with the lsort command, and a range of list entries can be extracted with the lrange command. lsearch list pattern Searches list for an entry that matches pattern, and returns the index for the first match, or a -1 if there is no match. By default, lsearch uses "glob" patterns for matching. See the section on globbing. lsort list Sorts list and returns a new list in the sorted order. By default, it sorts the list into alphabetic order. Note

Simple pattern matching - "globbing" [내부링크]

By default, lsearch uses the "globbing" method of finding a match. Globbing is the wildcarding technique that most Unix shells use. globbing wildcards are: * Matches any quantity of any character ? Matches one occurrence of any character \X The backslash escapes a special character in globbing just the way it does in Tcl substitutions. Using the backslash lets you use glob to match a * or ?. [...] Matches one occurrence of any character within the brackets. A range of characters can be matched b

String Subcommands - length index range [내부링크]

Tcl commands often have "subcommands". The string command is an example of one of these. The string command treats its first argument as a subcommand. Utilizing subcommands is a good way to make one command do multiple things without using cryptic names. For instance, Tcl has string length instead of, say, slength. This lesson covers these string subcommands: string length string Returns the length of string. string index string index Returns the indexth character from string. string range strin

String comparisons - compare match first last wordend [내부링크]

There are 6 string subcommands that do pattern and string matching. These are relatively fast operations, certainly faster than regular expressions, albeit less powerful. string compare string1 string2 Compares string1 to string2 and returns: -1 ..... If string1 is less than string2 0 ........ If string1 is equal to string2 1 ........ If string1 is greater than string2 These comparisons are done alphabetically, not numerically - in other words "a" is less than "b", and "10" is less than "2". str

Modifying Strings - tolower, toupper, trim, format [내부링크]

These are the commands which modify a string. Note that none of these modify the string in place. In all cases a new string is returned. string tolower string Returns string with all the letters converted from upper to lower case. string toupper string Returns string with all the letters converted from lower to upper case. string trim string ?trimChars? Returns string with all occurrences of trimChars removed from both ends. By default trimChars are whitespace (spaces, tabs, newlines). Note that

Regular Expressions 101 [내부링크]

Tcl also supports string operations known as regular expressions Several commands can access these methods with a -regexp argument, see the man pages for which commands support regular expressions. There are also two explicit commands for parsing regular expressions. regexp ?switches? exp string ?matchVar? ?subMatch1 ... subMatchN? Searches string for the regular expression exp. If a parameter matchVar is given, then the substring that matches the regular expression is copied to matchVar. If sub

More Examples Of Regular Expressions [내부링크]

Regular expressions provide a very powerful method of defining a pattern, but they are a bit awkward to understand and to use properly. So let us examine some more examples in detail. We start with a simple yet non-trivial example: finding floating-point numbers in a line of text. Do not worry: we will keep the problem simpler than it is in its full generality. We only consider numbers like 1.0 and not 1.00e+01. How do we design our regular expression for this problem? By examining typical examp

More Quoting Hell - Regular Expressions 102 [내부링크]

regexp ?switches? exp string ?matchVar? ?subMatch1 ... subMatchN? Searches string for the regular expression exp. If a parameter matchVar is given, then the substring that matches the regular expression is copied to matchVar. If subMatchN variables exist, then the parenthetical parts of the matching string are copied to the subMatch variables, working from left to right. regsub ?switches? exp string subSpec varName Searches string for substrings that match the regular expression exp and replaces

Associative Arrays. [내부링크]

Languages like C, BASIC, FORTRAN and Java support arrays in which the index value is an integer. Tcl, like most scripting languages (Perl, Python, PHP, etc...) supports associative arrays (also known as "hash tables") in which the index value is a string. The syntax for an associative array is to put the index within parentheses: set name(first) "Mary" set name(last) "Poppins" puts "Full name: $name(first) $name(last)" There are several array commands aside from simply accessing and creating arr

[강추] 북경오리구이본점, 수원 장안구 맛집 [내부링크]

[강추] 북경오리구이본점, 수원 장안구 맛집 오리구이 진정한 맛집 추천 회식 및 가족 행사하기 좋은 곳 예약 필수!!! 북경오리구이본점 주소 : 경기 수원시 장안구 송원로 63 시간 : 매일 11:00 - 22:00 중국의 대표적인 보양식인 북경오리구이 전문점이다. 매일 아침 화성 직영농장에서 신선한 오리를 가져오며 고온의 가마에서 구워내어 기름기가 빠진 담백한 오리구이를 맛볼 수 있다. 수원에서 맛집이라고 하면 손에 꼽히는 맛집이에요~! 주기적으로 생각나서 자주 가는 맛집을 이제야 리뷰 합니다 수원 종합 운동장 바로 앞에 위치해 있고, 야구나 축구 보고 먹으러 가기 좋은 곳아죠 주자도 가능하니 차끌고 방문하기도 좋아요 내부에 들어가면 룸도 있고, 실내 홀도 큰데요 꼭 예약하시는게 좋아요 자리가 있어서 받아 주시는 경우도 많지만 자리가 없을때가 많아요 그만큼 맛있어요 !!! 남녀 노소 많은걸 보면 누가 먹어도 맛있다 이거죠 ㅎ 메뉴판 입니다 ㅎㅎ 북경오리구이 강추입니다 (이거 내돈

베이커리비비드 카카오프렌즈골프하남점, 데이트 하기 좋은곳 [내부링크]

베이커리비비드 카카오프렌즈골프하남점, 데이트 하기 좋은곳 골프를 좋아하는 사람들에겐 꼭 가야하는 카페 베이커리비비드 카카오프렌즈골프하남점 주소 : 경기 하남시 미사동로40번길 113 3층 시간 : 토~일 12:00 - 20:00 이제 막 만들어져서 준비 중인것 같은 카페요 하남쪽에 카페가서 쉬고와야지 하고 검색하다가 이제 막 생긴것 같아서 가보았어요 네이버 지도에는 토~일 요일만 영업한다고 되어 있는데, 운좋에 아무생각 없이 방문했는데 ㅎㅎ 영업하는 날이였네요 평일에 진짜 안하는 지는 확인해 봐야 될것 같네요 내가 카카오 카페가 있는 건물이다!! 라고 멀리서도 알아 볼수 있게 인테리어가 되어 있네요 ㅋㅋ 1층과 2층은 골프 용품을 위한 공간이고, 매장에 들어가서 바로 옆에 있는 엘레베이터를 타고 3층으로 이동합니다 !! 엘레베이터를 내리자 마자 보이는 장면이에요 카페 매장과 복도를 회전문으로 구분해 놓았네요ㅋㅋ 오픈 시간에 맞춰서 왔더니 사람이 없네요? 아직 안 알려져서 없는 건지

Simple Text Output [내부링크]

puts "Hello, World - In quotes" ;# This is a comment after the command. # This is a comment at beginning of a line puts {Hello, World - In Braces} puts {Bad comment syntax example} # *Error* - there is no semicolon! puts "This is line 1"; puts "this is line 2" puts "Hello, World; - With a semicolon inside the quotes" # Words don't need to be quoted unless they contain white space: puts HelloWorld 튜토리얼의 전통적인 시작점은 고전적인 "Hello, World" 프로그램입니다. 문자열을 인쇄할 수 있게 되면 재미와 이익을 위해 Tcl을 사용할 수 있게 된 것입니다! Tcl에서

Assigning values to variables [내부링크]

Tcl Tutorial Tcl Tutorial Introduction Running Tcl Simple Text Output Assigning values to variables Evaluation & Substitutions 1: Grouping arguments with "" Evaluation & Substitutions 2: Grouping arguments with {} Evaluation & Substitutions 3: Grouping arguments with [] Results of a command - Math 101 Computers and Numbers N... www.tcl.tk Tcl에서는 모든 것이 문자열로 표현될 수 있지만 내부적으로는 언어를 빠르게 만들기 위해 목록, 정수, double 또는 기타 유형으로 표현될 수 있습니다. Tcl의 할당 명령이 설정됩니다. 다음과 같이 두 개의 인수를 사용하여 set을 호출하는 경우: set fruit Caulifl

Evaluation & Substitutions 1: Grouping arguments with "" [내부링크]

이번 레슨은 명령 평가 중 Tcl이 대체를 처리하는 방법을 논의하는 세 가지 레슨 중 첫 번째 레슨입니다. Tcl에서 명령 평가는 2단계로 수행됩니다. 첫 번째 단계는 단일 대체 패스입니다. 두 번째 단계는 결과 명령을 평가하는 것입니다. 대체 패스는 한 번만 이루어집니다. 따라서 명령에서 $varName을 넣습니다. $varName을 적절한 변수의 내용으로 대체한 후 명령이 실행됩니다. varName을 "Hello World"로 설정했다고 가정하면 시퀀스는 다음과 같습니다. puts $varName ⇒ puts "Hello World"가 실행되고 Hello World가 인쇄됩니다. 대체 단계에서는 여러 유형의 대체가 발생합니다. 대괄호([]) 안의 명령은 해당 명령의 실행 결과로 대체됩니다. (이 내용은 "명령의 결과 - 수학 101" 단원에서 더 자세히 설명됩니다.) 큰따옴표나 중괄호 안의 단어는 단일 인수로 그룹화됩니다. 그러나 큰따옴표와 중괄호는 대체 단계에서 다른 동작을 발

Evaluation & Substitutions 2: Grouping arguments with {} [내부링크]

명령 평가의 대체 단계 동안 두 그룹화 연산자인 중괄호({)와 큰따옴표(")는 Tcl 해석기에서 다르게 처리됩니다. 지난 강의에서 큰따옴표로 단어를 그룹화하면 큰따옴표 내에서 대체가 발생할 수 있음을 확인했습니다. 대조적으로 이중 중괄호 안에 단어를 그룹화하면 중괄호 내에서 대체가 비활성화됩니다. 중괄호 안의 문자는 작성된 그대로 명령에 전달됩니다. 중괄호 내에서 처리되는 유일한 "백슬래시 시퀀스"는 줄 끝의 백슬래시입니다. 이것은 여전히 줄 연속 문자입니다. 중괄호는 그룹화에 사용될 때만(즉, 일련의 단어의 시작과 끝에서) 이 효과를 나타냅니다. 문자열이 이미 따옴표나 중괄호로 그룹화되어 있고 그룹화된 문자열 중간에 중괄호가 있는 경우(예: "foo{bar"), 중괄호는 특별한 의미가 없는 일반 문자로 처리됩니다. 문자열이 따옴표로 그룹화되면 인용된 문자열 내에서, 심지어 중괄호 사이에서도 대체가 발생합니다. set Z Albany set Z_LABEL "The Capitol of

Results of a command - Math 101 [내부링크]

The Tcl command for doing math type operations is expr. The following discussion of the expr command is extracted and adapted from the expr man page. Many commands use expr behind the scenes in order to evaluate test expressions, such as if, while and for loops, discussed in later sections. All of the advice given here for expr also holds for these other commands. expr takes all of its arguments ("2 + 2" for example) and evaluates the result as a Tcl "expression" (rather than a normal command),

Numeric Comparisons 101 - if [내부링크]

Like most languages, Tcl supports an if command. The syntax is: if expr1 ?then? body1 elseif expr2 ?then? body2 elseif ... ?else? ?bodyN? The words then and else are optional, although generally then is left out and else is used. The test expression following if should return a value that can be interpreted as representing "true" or "false": If the test expression returns a string "yes"/"no" or "true"/"false", the case of the return is not checked. True/FALSE or YeS/nO are legitimate returns. If

Textual Comparison - switch [내부링크]

The switch command allows you to choose one of several options in your code. It is similar to switch in C, except that it is more flexible, because you can switch on strings, instead of just integers. The string will be compared to a set of patterns, and when a pattern matches the string, the code associated with that pattern will be evaluated. It's a good idea to use the switch command when you want to match a variable against several possible values, and don't want to do a long series of if...

Looping 101 - While loop [내부링크]

Tcl includes two commands for looping, the while and for commands. Like the if statement, they evaluate their test the same way that the expr does. In this lesson we discuss the while command, and in the next lesson, the for command. In most circumstances where one of these commands can be used, the other can be used as well. while test body The while command evaluates test as an expression. If test is true, the code in body is executed. After the code in body has been executed, testis evaluated

Looping 102 - For and incr [내부링크]

Tcl supports an iterated loop construct similar to the for loop in C. The for command in Tcl takes four arguments; an initialization, a test, an increment, and the body of code to evaluate on each pass through the loop. The syntax for the for command is: for start test next body During evaluation of the for command, the start code is evaluated once, before any other arguments are evaluated. After the start code has been evaluated, the test is evaluated. If the test evaluates to true, then the bo

foreach 예제 [내부링크]

foreach i [list 1 2 3 4 5] { puts "foreach data $i" }

Adding new commands to Tcl - proc [내부링크]

In Tcl there is actually no distinction between commands (often known as 'functions' in other languages) and "syntax". There are no reserved words (like if and while) as exist in C, Java, Python, Perl, etc... When the Tcl interpreter starts up there is a list of known commands that the interpreter uses to parse a line. These commands include while, for, set, puts, and so on. They are, however, still just regular Tcl commands that obey the same syntax rules as all Tcl commands, both built-in, and

파일 존재 여부 확인 [내부링크]

if {[file exists "a"]} { # a file이 존재하면 puts "a exists" }

Variable scope - global and upvar [내부링크]

Tcl evaluates variables within a scope delineated by procs, namespaces (see Building reusable libraries - packages and namespaces), and at the topmost level, the global scope. The scope in which a variable will be evaluated can be changed with the global and upvar commands. The global command will cause a variable in a local scope (inside a procedure) to refer to the global variable of that name. The upvar command is similar. It "ties" the name of a variable in the current scope to a variable in

Tcl Data Structures 101 - The list [내부링크]

The list is the basic Tcl data structure. A list is simply an ordered collection of stuff; numbers, words, strings, or other lists. Even commands in Tcl are just lists in which the first list entry is the name of a proc, and subsequent members of the list are the arguments to the proc. Lists can be created in several ways: by setting a variable to be a list of values set lst {{item 1} {item 2} {item 3}} with the split command set lst [split "item 1.item 2.item 3" "."] with the list command. set

Adding & Deleting members of a list [내부링크]

The commands for adding and deleting list members are: concat ?arg1 arg2 ... argn? Concatenates the args into a single list. It also eliminates leading and trailing spaces in the args and adds a single separator space between args. The args to concat may be either individual elements, or lists. If an arg is already a list, the contents of that list is concatenated with the other args. lappend listName ?arg1 arg2 ... argn? Appends the args to the list listName treating each arg as a list element.

순대국집, 성내동 쭈꾸미골목 순대국 [내부링크]

순대국집, 성내동 쭈꾸미골목 순대국 순대국집 주소 : 서울 강동구 천호대로158길 15 시간 : 매일 10:00 - 22:00 성내동 쭈구미 골목과 풍년상회 골목 중간에 순대국집이 하나 있습니다 매장 이름이 순대국집이더라고요 그 옆에는 온도계라는 닭고기 집도 있는데, 40년 이상의 전통 순대국집이라고 소개되어 있고 지나가다 보면 사람들이 제법 있어서 꼭 가봐야지 했는데 드디어 다녀왔습니다 엄청 오래되었다는걸 외관에서 느낄 수 있을 정도에요 이정도로 오래 되었으면 맛없는게 이상하겠지? 라는 생각이 절로 들더라고요 메뉴는 심플해요 순대국과 오소리 감투 머리고기 등 반주 하면서 먹기 좋은 메뉴들이었어요 저는 점심에 방문해서 일단 순대국 주문했어요 주문하면 바로 옆에 보이는 주방에서 사장님께서 후딱 순대국 한그릇을 끓여서 바로 줍니다 ㅋㅋ 실시간으로 조리하는 모습을 볼수 있어요 순대국의 모습 어떤가요?? 푸짐해서 좋더라고요 어르신들이 좋아할 법 하네요 ㅎㅎ 40년 전통이라고 해서 엄청 기대

신동궁 감자탕.뼈숯불구이 선릉직영점, 선릉 맛집 뼈숯불구이 포장하기 [내부링크]

신동궁 감자탕.뼈숯불구이 선릉직영점, 선릉 맛집 뼈숯불구이 포장하기 선릉 근처 직장인이라면 꼭 먹어야할 메뉴 진짜 졸라 맛있다 신동궁 감자탕.뼈숯불구이 선릉직영점 주소 : 서울 강남구 선릉로86길 39 1층 신동궁감자탕 뼈숯불구이 시간 : 24시간 영업 연중무휴 번호 : 02-558-7944 선릉역 1번 출구에서407m 신동궁 감자탕 메뉴인 뼈숯불구이는 선릉 근처 직장인이라면 꼭 먹어야할 메뉴에요 자주 자주 생각나는 메뉴인데 투탑으로 뽕나무쟁이 이 있죠 두 메뉴는 진짜 최고입니다 오늘은 뼈 숯불구이가 너무 먹고싶어서 집에 포장해 가기로 했어요 장사가 잘되서 시간이 오래 걸리니깐 02-558-7944 로 미리 전화로 포장 주문해서 가세요 !! 아직 저녁 먹기 이른 다섯시인데 사람이 진짜 많아요 매장이 안에 내부까지 엄청 커요 오늘 처음으로 메뉴판을 제대로 봣는데 뼈 찜도 있네요? ㅋㅋ 맨날 오면 사람들이 뼈 숯불구이만 시켜줘서 그것만 알았는데 저는 뼈숯불구이 소를 주문했어요 숯뼈 찜쪄

Design compiler, dc_shell 에서 Shell에 있는 파일 보기 [내부링크]

Design compiler, dc_shell 에서 Shell에 있는 파일 보기 터미널을 더 이상 열고 싶지 않고 dc_shell 에서 다른 log나 코드를 보고 싶을 때 dc_shell > shell gvim other_fiel.txt dc_shell > sh gvim other_fiel.txt

SDC(synopsyis design clock) 이것 저것 [내부링크]

create_clock -name crclk_spi -period $CLK_PERIOD_SPI -waveform [list 0 [expr $CLK_PERIOD_SPI / 2]] $CLK_SRC_SPI expr 명령은 정수, 부동소수점의 연산이나 비교를 실행한다. -period 옵션은 library time units로 클럭 파형의 주기를 지정합니다. CLK_PREIOD_SPI는 "29.000 x 0.84"이므로, "24.65"로 설정되어 있다. CLK_PREIOD_OSC는 "250.000 x 0.84"이므로, "212.5"로 설정되어 있다. -waveform 옵션은 전체 clock period 동안 클록의 상승 및 하강 에지 시간을 라이브러리 시간 단위로 지정합니다. 목록의 첫 번째 시간은 상승 전환이며, 일반적으로 시간 0 이후의 첫 번째 상승 전환입니다. 짝수의 증가 시간이 있어야 하며 교대하는 상승 및 하강 시간으로 간주됩니다. 숫자는 하나의 전체 clock period을 나타

get_pins와 get_ports의 차이 [내부링크]

get_cells: 이 명령은 cells ( netlist의 구성 요소)를 나타내는 objects를 찾습니다. get_pins: 이 명령은 pins ( cells의 연결 지점)를 나타내는 objects를 찾습니다. get_nets: 이 명령은 nets ( netlist의 "와이어")를 나타내는 objects를 찾습니다. get_ports: 이 명령은 FPGA design의 외부 I/O ports를 나타내는 objects를 찾습니다. toplevel module의 ports 입니다. get_clocks: 이 명령은 clock objects를 찾습니다. 여기에 언급된 objects 중에서 clock objects는 design의 logic element 에 해당하지 않는 유일한 objects 입니다. 오히려 clock objects는 앞에서 설명한 대로 clocks에 대한 정보를 포함하는 데 사용됩니다.

Verilog Timescale [내부링크]

`timescale <time_unit>/<time_precision> `timescale은 시간 측정과 정확도의 단위를 지정한다. `timescale for base unit of measurement and precision of time $printtimescale system task to display time unit and precision $time and $realtime system functions return the current time and the default reporting format can be changed with another system task $timeformat.

Tcl Basics and Logic Synthesis [내부링크]

Slide 1 (wustl.edu) tclsh : tcl 실행 명령어 My First Tcl Script *Hello world Tcl Basics • String based interpreted command language • Tcl scripts – consist of one or more commands – command followed by parameters or arguments – separated by white spaces or tabs • Example – print “My first Tcl script” – puts: output a new line – stdout: output to the terminal • Two step process – parsing: define substitutions – execution: meaning is applied to command arguments • Example – set: read and write variable

Logic Synthesis [내부링크]

Write Synthesizable Code • Use meaningful names for signals and variables • Don't mix level and edge sensitive elements in the same always block • Avoid mixing positive and negative edge-triggered flip-flops • Use parentheses to optimize logic structure • Use continuous assign statements for simple combo logic • Use nonblocking for sequential and blocking for combo logic • Don't mix blocking and nonblocking assignments in the same always block (even if Design compiler supports them!!). • Be care

Timing Analysis [내부링크]

Types of Simulation • Behavioral simulation – black box with inputs and outputs • Functional simulation – unit-delay simulation; ignore timing • Static timing analysis – derive the longest delay path • Gate-level simulation – aka. logic simulation; check ASIC timing performance – logic cell as black box modeled by functions with input signal as variables • Switch-level simulation • Transistor or circuit-level simulation Static Timing Analysis • “What is the longest delay in my circuit?” – critic

Physical Design [내부링크]

ASIC Construction • System partitioning – goal: partition a system into a number of modules – objectives: minimize the number of external connections; keep each module smaller than max size • Floorplanning – goal: calculate the sizes of all the blocks and assign them locations – objectives: keep the highly connected blocks physically close to each other • Placement – goal: assign the interconnect areas and the location of all the logic cells within the flexible blocks – objectives: minimize the

쌀국수공방 역삼점, 저렴한데 맛있는 가성비 맛집 [내부링크]

선릉 쌀국수공방, 저렴한데 맛있는 가성비 맛집 쌀국수공방 역삼점 주소 : 서울 강남구 테헤란로 310 B101호 쌀국수공방 시간 : 월 ~ 금요일 11:00 - 21:00 15:00 - 17:00 브레이크타임 20:30 라스트오더 토요일 일요일은 정기 휴무 선릉역 4번 출구에서338m 두꺼비 빌딩 지하에 숨어있는 엄청난 가성비 맛집을 다녀왔어요!! 저렴한데 맛은 그렇지 않아요! ㅋㅋ 맛있어서 가고 싶은 쌀국수 집 집니다 매장도 깔끔하고 서비스도 좋아서 추천해요!! 두꺼비 빌딩 지하에 있어서 잘 안 알려진 거 같은데 두꺼비 빌딩 지하에 맛있는 식당이 몇 개 있어요 다 리뷰 올릴게요 ㅎㅎ 맛집이어서 그런지 점심에 사람이 많아요 ㅎㅎ 그래서 안에 있는 키오스크에서 주문하고 밖에 의자에 앉아서 대기하고 있으면 순서대로 불러주십니다 회전이 빨라서 금방 줄어드니깐 참고하세요~ 쌀국수가 기본 7,900원이라니 ㅎㅎ 쌀국수 분짜 팟타이 볶음밥 3개를 주문해도 26,700원 .. 저렴해서 감동..

[추천] 퍼스트스마일 산후조리원, 만족하고 온 이용 후기 [내부링크]

추천] 퍼스트스마일 산후조리원 퍼스트스마일 산후조리원 주소 : 서울 강동구 천호대로159길 13 퍼스트스마일 산후조리원 서울특별시 강동구 천호대로 159길 13 (천호동) [5, 8호선 천호역] 5번 출구 도보 3분 거리 [5호선 강동역] 1번 출구 도보 5분 거리 산후조리원을 선정하는 데 있어서 중요한 건 언제든 아이를 출산했던 병원을 다시 갈 수 있기 때문에 출산한 병원 근처에 잡는 게 좋다고 배웠어요 ㅋㅋ 저희는 아산병원에서 출산을 했고, 집도 풍납동이기 때문에 근처에 여러 군데 산후조리원을 알아보다가 퍼스트스마일을 선택하게 되었는데 집에서 가까워 언제든 산후조리원을 방문할 수 있고, 아산병원에 가깝고 근처에 맛집이 많기 때문에 배달 시켜 먹을 수도 있고 ㅋㅋ 그리고 처음 방문했을 때 다른 조리원보다 친절하고 시설이 깔끔하게 좋아 보였어요 무엇보다 와이프가 편하게 쉴 수 있고 마음껏 마사지를 받게 하고 싶었는데 마사지 가격도 마음에 들었어요! 그리고 아산병원에서 출산하는 아기는

죠스익스프레스 논현본점, 떡볶이가 땡기는 오늘! [내부링크]

죠스익스프레스 논현점 후기 죠스익스프레스 논현점 장소 : 서울 강남구 논현로114길 22 1층 떡볶이가 먹고 싶어서 근처에 있는죠스익스프레스를 다녀왔어요 배달 또는 포장을 주로 하는것 같아요 매장내에 테이블과 의자가 있긴한데 편하지 않았어요 주문은 앞에 있는 키오스크에서 가능했어요 요즘은 키오스크가 편해여 ㅋㅋ 천천히 고르고 주문할 수 있어서 저는 좋아요 세트도 있고 튀김 김밥 다양하게 메뉴가 있더라고요 떡볶이 종류가 너무 많더라고요 메뉴 고민을 많이 하신것 같네요 닭강정 메뉴가 있던데 튀김 메뉴랑 같이 해서 다른 리뷰 보니 평가가 괜찮아 보였어요 혼자 간단하게 먹으려고 1인세트 떡볶이를 주문했어요 ㅎㅎ 생각보다 혼자 먹기 양은 많았던거 같은데 아쉬운게 있었어요 오뎅을 튀긴게 개인적으로 턱이 아플정도로 질긴 느낌이 있어서 ;; 떡볶이 자체는 맛있는데 그게 쫌 아쉬웟어여 개인적인 취향으로 오뎅 많은게 좋은데 다음엔 다른걸 주문해서먹어봐야겠어요 점심에는 할인도 하니깐 점심에 가세요 ㅋㅋ

방이동 별미곱창, 기름진 감칠맛이 최고! [내부링크]

방이동 별미곱창 잠실 놀러 와서 곱창을 먹을 거라면 무조건 별미 곱창집이다 3호점까지 있을 정도로 맛집이다 별미 곱창 주소 : 서울 송파구 오금로11길 14 별미 곱창 본점 시간 : 월요일 ~ 일요일 14:00 - 02:00 2호점과 3호점은 매주 일요일 휴무 방이동 먹자골목에 들어가면 가장 잘 보이는 곳들에 별미 곱창 잡이 위치해 있어요 본점과 2,3 호점이 있을 정도로 장사가 잘 되는 곳이에요 2호점과 3호점이 위치가 좋은 곳에 있어서 잘 보이고 본점이 살짝 골목에 위치해 있어요!ㅋㅋ 본점이 워낙 잘 되어서 2,3 호점을 위치 좋은 곳에 한 것 같은 저의 뇌피셜이에요 평소에도 자주 가는 곳이라 기름진 게 당기는 오늘 다녀왔어요 여기가 3호점인데 가장 큰 길 앞에 있어요 처음에는 위치가 가장 좋아서 여기가 본점인 줄 알았어요 평일 3시에 왔더니 사람이 없군요 ㅋㅋ 항상 여기가 가장 사람 많았는데 오늘은 모둠 곱창 두 개를 주문했습니다 곱창 1인분 200g! 둘이서 2인분 먹고 볶음

그릴한끼, 역삼 맛집 질리지 않고 먹을 수 있다 [내부링크]

그릴한끼, 역삼 맛집 질리지 않고 먹을 수 있다 그릴한끼 주소 : 서울 강남구 봉은사로30길 69 1층 1호 일부 시간 : 월~ 금 10:00 - 20:00 (16:00 - 17:00 브레이크타임) 19:50 라스트오더 토요일은 휴무 일요일 11:00 - 19:30 (19:20 라스트오더) 역삼역 7번 출구에서286m 역삼역에서 점심 저녁 메뉴로 고민하는 직장인들에게 추천해요 생긴지 얼마 안되서 잘 모르는 분들 많을텐데 가서 먹고 와보니 맛있었어요! 매장밖에서 메뉴를 볼수 있어서 좋더라고요 매장도 최근에 생겨서 그런지 골드로 인테이어가 고급져 보였어요 덮밥은 불고기, 삼겹, 치킨, 새우 종류에서 선택 할 수 있었어요 그리고 소스는 간장 베이스와 매콤 중에 선택 가능하고요 양도 추가 할 수 있고, 사이드로 튀김 종류를 주문 할 수 있네요 ㅎㅎ 저는 오늘 간장 삼겹에 새우를 추가해봣어요 ㅎㅎ 처음 오는데 삼겹도 먹어보고 싶고 새우도 먹고 싶어서 토핑 새우를 추가 했는데 간장 삼겹 맛부터

그랜드 워커힐 서울 더뷔페, 고기 생선 회 맛집!! 이벤트는 호텔뷔페 [내부링크]

그랜드 워커힐 서울 더뷔페, 고기 생선 회 맛집!! 이벤트 데이트 그랜드 워커힐 서울 더뷔페 주소 : 서울 광진구 워커힐로 177 그랜드 워커힐 서울 2층 시간 : 07:00 - 21:00 10:00 - 12:00 브레이크타임 14:30 - 18:00 브레이크타임 찾아가는길 1. 택시 / 자동차 이용시 강변북로 이용하여 구리 방면으로 오시다가 워커힐, 광장 사거리 입구로 진입. 그랜드 워커힐 서울 2층에 위치.(워커힐 주차장 이용) 2. 지하철 2호선 강변역 1번 출구 또는 5호선 광나루역 2번 출구 건너편에서 호텔 셔틀 버스 이용해 워커힐 호텔에 하차. 그랜드 워커힐 서울 2층에 위치. 출산 전에 선물로 워커힐 서울 더 뷔페 이용권을 받았어요! ㅎㅎ 언제든 이용할 수 있는 호텔 뷔페는 아니고 반드시 홈페이지에 들어가셔서 예약을 하셔야 해요! https://www.walkerhill.com/grandwalkerhillseoul/dining/TheBuffet 그랜드 워커힐 서울 그랜드

남양주 약수촌, 가든에서 오리고기를 즐기러 가요 [내부링크]

남양주 약수촌, 가든에서 오리고기를 즐기러 가요 한적한 자연에 들어가서 먹는 느낌을 받을 수 있는 남양주의 생오리고기 양념 오리주물럭 맛집! 약수촌 주소 : 경기 남양주시 진접읍 금강로1521번길 70 시간 : 11:30 - 21:30 매주 화요일 휴무 15:30 - 17:00 브레이크타임 20:00 라스트오더 티비에서 보던 큰 돌판에 구워먹는 오리고기 집이 생각나서 열심히 맛집을 찾아보았어요 ㅎㅎ 티비에도 많이 나왔고 남양주 한적한 곳에 위치해 있어서 다녀왔습니다! 입구부터 뭔가 기운이 느껴지는 곳이었어요 주차장도 건물 아래 위로 있어서 주차하고 가게 안으로 들어가시면 됩니다 차가 없으면 조금 멀어서 어려울 수도 있을것 같네요 매장안으로 들어 가기 위한 길인데 도시에서 조금 나왔을 뿐인데 느낌히 확 다른게 놀러온것 같고 좋아여 매장안에는 이미 사람들이 엄청 많이 있었어요 건물 중앙에 있는 입구를 중심으로 양옆에 서로 다른 느낌의 테이블이 있는데 저는 왼쪽으로 들어 갔어요 지붕도 그

카페실버팟 - 남양주 오남 호수 공원 저수지, 산책하고 커피한잔하기 좋은 루프탑 대형 카페 [내부링크]

카페실버팟 - 남양주 오남 호수 공원, 산책하고 커피한잔하기 좋은 루프탑 카페 베이커리 , 브런치 및 크로플 전문 옥상에 넓은 루프탑과 3층에 넓은 야외 정원 및 테라스에서 호수를 바라보며 사랑하는 연인, 가족과 함께 즐거운 추억을 만들어보세요. 카페실버팟 주소 : 경기 남양주시 오남읍 팔현로 75 2층 일부, 3층 시간 : 10:00 - 21:00 (연중무) 20:30 라스트오더 약수촌에서 오리고기를 먹고 근처에 좋은 카페가 없을까 찾아보다가 산책하기도 좋을것 같고, 리뷰도 괜찮은 카페를 찾았어요! 이름은 실버팟인데, 왜 이름이 실버팟이냐면 카페 아래는 은항아리 스파랜드가 영업중이거든요 ㅋㅋ 은항아리를 영어로 실버팟! 오남 호수공원 입구 쪽에 자리하고 있어서 금방 찾을 수 있어요!!! 엘레베이터를 타고 2층으로 이동하시면 커피와 빵을 주문할 수 있고 3층으로 이동하면 루프탑과 큰 카페 실내를 즐기실 수 있습니다. 커피 가격은 이정도면 음 ㅋㅋ 칠천원 넘는 곳도 많으니 감안하고 ..

[강추] 광교 슬로 베이커리 카페, 찾았다 최고의 카페!!! [내부링크]

[강추 ]광교 슬로 베이커리 카페, 찾았다 최고의 카페!!! 광교에 있는 카페에서 빵과 커피가 최고 뷰도 좋은 카페!!! 슬로 주소 : 경기 수원시 영통구 광교호수공원로 277 3번게이트 225호 시간 : 매일 08:00 - 22:00 라스트 오더 : 21:30 광교 갤러리아에서 도보 10분, 558m 주말이 되면 저는 광교호수공원에 가서 빵과 커피 한잔의 여유를 즐기고 옵니다 이전에 리뷰한 다른 카페들도 좋았는데 오늘 다녀온 카페가 진짜 너무 좋아서 바로 리뷰 남깁니다!!! 앨리웨이에 있는 카페들도 좋은데, 거기는 그늘지죠... 호수도 바로 안보이고, 그런데 최근 새로 생겨서 가본 슬로 라는 베이커리 카페!! 빵도 맛있고 커피도 맛있고, 인테리어도 너무 잘 되어 있는데 앞에 호수도 가까워서 보이니깐 최고의 위치 선정이었어요 ㅎㅎ 갤러리아에서 밥먹고 호수 쪽으로 산책하다 쉬러 들어 오기 좋은 카페인것 같네요 ㅎ Slow Slow Slow Bakery Sweet time 이라는 문구가

Constraining the Design - input delay [내부링크]

Timing Constraints timing analysis를 수행하기 전에, 디자인에 대해서 timing constraints 를 지정 해야 합니다. timing constraint 는 timing assertion이라고도 하며, 신호가 입력에 도달하거나 출력에서 유효할 수 있는 허용 시간 범위를 제한합니다. Input Delays input에서 constraint 을 검사하기 위해서 툴은 입력신호의 도착 시간에 대한 정보가 필요합니다. 입력 포트로 연결되는 외부 경로의 타이밍을 지정하려면 set_input_delay 명령을 사용하면 됩니다. 클록 에지에서 지정된 입력 포트에 신호가 도착할 때까지의 최소 및 최대 지연 시간을 지정합니다 port에 set_drive 와 set_driving_cell 명령을 사용하면, port가 cell delay를 갖는데, 이는 external driving-cell delay의 load-dependent value 입니다. input delay

Constraining the Design - output delay [내부링크]

Output Delays 설계 출력에서 constraint 조건 검사를 수행하려면 tool에 output의 timing requirements 사항에 대한 정보가 필요합니다. 레지스터에 대한 output port의 delay을 지정하려면 set_output_delay 명령을 사용합니다. 이 명령을 사용하면 output 포트와 data를 capture하는 device와의 최소 및 최대 delay 시간을 지정합니다. • Maximum-_output_delay = length-_of_longest_path_to-_register_data_pin + setup_time_of_the_register • Minimum_output_delay = length_of_shortest_path_to_register_data_pin – hold_time OUT1에서 클록 PHI1의 상승 에지에 대해 4.3의 출력 지연을 설정합니다. pt_shell> set_output_delay 4.3 -clock P

Constraining the Design - Drive Characteristics at Input Ports [내부링크]

Drive Characteristics at Input Ports 입력 포트의 드라이브 특성 design time을 정확하게 지정하려면 각 입력 포트를 구동하는 외부 셀의 구동 capability 을 정의해야 합니다. PrimeTime은 이 정보를 사용하여 포트에 대한 로드 종속 셀 delay을 계산하고 다음 논리 단계에 대한 셀 delay 및 transition 시간을 계산하기 위한 정확한 transition 시간을 생성합니다. set_driving_cell 명령은 커패시턴스가 변경되더라도 타이밍 계산이 정확하도록 구동 셀에 대한 라이브러리 셀 아크를 지정할 수 있습니다. 이 명령은 지정된 라이브러리 셀이 포트를 구동하는 것처럼 포트가 transition time을 계산하도록 합니다. set_drive 또는 set_input_transition 명령을 사용하면 정확도는 조금 떨어 집니다. 가장 최근의 drive 명령이 우선 적용 되서, 포트에서 set_drive 명령을 실행한 다음

Constraining the Design - Port Capacitance, Wire Load Models [내부링크]

Port Capacitance time a design 을 정확하게 지정하려면 set_load 명령을 사용하여 핀 및 와이어 정전 용량을 포함하여 최상위 포트에 연결된 네트의 외부 부하 정전 용량을 설명해야 합니다. Output load Example 1 포트의 외부 핀 커패시턴스를 지정하려면 다음을 입력하십시오. pt_shell> set_load -pin_load 3.5 {IN1 OUT1 OUT2} Example 1 postlayout의 경우 외부 annotated wire capacitance를 포트의 와이어 커패시턴스로 지정합니다 pt_shell> set_load -wire_load 5.0 {OUT3} 포트 커패시턴스 값을 제거하려면 remove_capacitance 명령을 사용하면 됩니다. Wire Load Models net delays을 정확하게 계산하기 위해 PrimeTime은 와이어 상호 연결의 기생 부하에 대한 정보가 필요합니다. 배치 및 라우팅이 완료되기 전에 Pr

[강추] 고기 한상과 덮밥 메뉴 맛집 돈웍! [내부링크]

[강추] 고기 한상과 덮밥 메뉴 맛집 돈웍! 선릉역 역삼역 사이에 위치한 맛집 간단하게 고기 먹고 싶을 때 배달로도 좋고 가서 먹기 좋은 직장인 점심 저녁 돈웍 주소 : 서울 강남구 언주로98길 23 2층 시간 : 월~금 11:00 - 21:30, 21:00 라스트오더 토요일 일요일 휴무 1층 오또상스시 & 코우 건물입니다. 홀매장과 배달메뉴의 구성이 조금씩 다릅니다. 메뉴사진과 상세설명을 참고하시기 바랍니다. 고기를 좋아하는 직장인들에게 좋은 식당에 다녀왔어요!!!ㅎㅎ 선릉역과 역삼역 사이에 위치한 돈웍 이라는 식당이에요 가게 입구에 메뉴들에 대한 설명이 잘 되어 있어서 매장에 올라가기 전에 메뉴가 어떤지 확인할 수 있어요!! 가격까지 같이 쓰여있는 곳이 메뉴를 정할 때 좋은데 여기는 가격이 다 나와 있네요 ㅎ 고기한상 메뉴들과 덮밥 메뉴 메인 메뉴이고, 찌개 세트도 있어요 ㅎㅎ 한상 세트는 사진으로 보면 찌개 또는 밥과 반찬의 구성으로 한 끼 먹기 든든한 메뉴 구성이에요 매장에

[일상]매드포갈릭 롯데시네마월드타워점 [내부링크]

[일상]매드포갈릭 롯데시네마월드타워점 말이 필요 없는 검증된 맛집 매드포갈릭 롯데월드타워점 분위기 있고 맛있는 잠실롯데월드타워맛집 매드포갈릭 롯데시네마월드타워점 주소 : 서울 송파구 올림픽로 300 롯데월드몰 엔터테인먼트동 7층 시간 : 매일 11:30 - 22:00 21:00 라스트오더 한국인으로서 음식에 마늘을 항상 많이 넣는 저입니다 ㅎㅎ 마늘을 좋아해서 평소에 컨디션 안 좋으면 마늘 치킨도 좋아하는데 오늘은 마늘이 매장 이름에 들어간 마늘이 모든 메뉴에 포인트인! 매드포 갈릭을 다녀왔습니다 잠실에 있는 롯데월드몰에 방문하면 맛있는 식당이 진짜 많아요 매번 갈 때마다 새로운 식당들이 생기고 없어지고 하는데 오늘은 아는 맛이 가장 맛있다! ㅋㅋ 옛날부터 많이 다녀온, 매드포 갈릭에 다녀왔습니다. 파스타 피자 먹고 싶은데 다른 곳은 사람도 많고 (오늘은 빨리 맛있는 걸 먹고 싶은 기분?) 롯데월드몰이 너무 커서 음식점 찾기가 어려운데 매드포갈릭은 롯데시네마가 있는 곳에 있어요 ㅋㅋ

SPI interface mode [내부링크]

SPI는 4가지 모드가 있으며 CPOL, CPHA 신호로 모드를 선택 할 수 있으며 CPOL : SPI CLOCK이 IDLE 상태 일때 Low 또는 High 중 어떤 값을 가지는지 선택하는 옵션 0 : Clock이 IDLE일 때 low 상태 1 : Clock이 IDLE일 때 High 상태 CPHA Data capture timing이 SPI Clock의 첫번째 edge에서 할 것인지 두번째 edge에서 할 것 인지 선택하는 옵션이다 0 : 첫번째 edge에서 데이터 capture 1 : 두번째 edge 에서 데이터 capture 말은 이렇게 해놓았지만 ... 첫번째 두번째 edge에 따른 capture 라는게 말이 어렵다 사실 data를 주는 timing이 다른것 이다. 라고 이해하고 아래 그림을 보고 이해 하면 편할 것 같습니다. SPI Mode 0 (CPOL=0, CPHA = 0) SPI Mode 1 (CPOL=0, CPHA = 1) SPI Mode 2 (CPOL=1, CPHA

DBI interface type -B [내부링크]

Block diagram of DBI type B Type B Interface Signal Description Type B Interface Write and Read Cycles Write Cycle Write Cycle 동안 호스트 프로세서는 인터페이스를 통해 데이터를 디스플레이 모듈로 보냅니다. Type B 인터페이스는 D/CX, RDX 및 WRX 신호와 8개(D[7:0]), 9개(D[8:0]) 또는 16개(D[15:0]) 정보 신호를 모두 활용합니다. WRX는 쓰기 주기 동안 high에서 low로 구동된 다음 다시 high으로 풀립니다. 호스트 프로세서는 Write Cycle 동안 정보를 제공하고 디스플레이 모듈은 WRX의 rising edge에서 호스트 프로세서 정보를 읽습니다. 명령 정보가 인터페이스에 있는 동안 D/CX는 로우로 구동되고 데이터가 있을 때 high로 풀링됩니다. WRX가 falling edge일 때, host는 data를 주고, WRX가 rising

[추천] 가나 돈까스의집 기사식당, 역삼동 맛집 가성비 최고, 가격과 맛 둘다 만족 [내부링크]

[추천] 가나 돈까스 기사식당, 역삼동 맛집 가성비 최고, 가격과 맛 둘다 만족 가나 돈까스의집 주소 : 서울 강남구 언주로 608 시간 : 월~ 토요일 10:00 - 20:30 일요일 정기 휴무 라스트 오더 20:25 방송 출연 : 나혼자산다 487회, 23.03.24.2023년 3월 24일 돈가스 2TV생생정보 765회, 19.03.05.2019년 3월 5일 돈가스 생방송투데이 1986회, 17.11.02.2017년 11월 2일 돈가스 백종원의 3대 천왕 30회, 16.03.26.2016년 3월 26일 돈까스 언주역과 선정릉역 중간에 위치한 가나돈까스의집 !!! 인터넷 검색을 해보다가 방송 출연을 많이 한 돈까스 집이 있어서 다녀왔습니다!! 기사식당이라고 되어 있는데, 진짜 매장앞에 택시가 엄청 서있더라고요 기사님들이 많이 방문하시나 봐요 ㅎ 매장은 지하 1층에 위치해 있어요 ~ 조심히 내려가시면 됩니다 ㅎ 늦은 저녁에 방문해서 그런지 사람이 별로 없네요 ㅎㅎ 혼밥을 위한 최고의

[추천]풍납동 수숯불직화 꼬치 바베큐, 저녁에 산책하며! [내부링크]

[추천]풍납동 숯불직화 꼬치 바베큐, 저녁에 산책하며! 꼬치 하나 먹어요 ㅋㅋ 수숯불직화꼬치바베큐 주소 : 서울 송파구 토성로 33 강동구청역 4번 출구에서766m 시간 : 월요일 ~ 토요일 16:30 - 24:00 23:30 라스트오더 일요일 정기 휴무 풍납동 산책하다 보면 항상 저녁에 사람들이 기다리고 있는 꼬치집이 있어서 다녀왔습니다 ㅎㅎ 주변을 지나다 보면 숯불향이 ... 발걸음을 멈추게 만드는 ... 무서운 집이에요 ㅋㅋㅋ 풍납동 주민이라면 저녁에 산책하면서 다녀오시길 ㅎㅎ 추천하며 ㅎㅎ 매장내에도 자리가 있어서 주문하고 매장에서 드셔도 되고 지금 처럼 날씨 좋을 때 산책 하면서 드시는거 어떠세요 ? ㅎㅎ 키오스크로 주문 하시면 되고 ~ 꼬치 종류도 다양해요 !! ㅋㅋ 맥주도 파니깐 꼬치에 맥주 한잔 하는것도 매우 좋은 야식이 아닐까요? ㅋㅋㅋ 저는 오늘 파닭꼬치!!! 주문할때 사장님께서 대기 시간을 알려 주시는데 20분 정도 소요 된다고 하시더라고요 그래서 주문하고 저희

[추천]고양이 부엌 즉석떡볶이 맛집 강남역 데이트 [내부링크]

[추천]고양이 부엌 즉석떡볶이 맛집 강남역 데이트 고양이 부엌 주소 : 서울 서초구 강남대로61길 7 시간 : 매일 10:30 - 20:30 19:30 라스트오더 강남역 10번 출구에서153m 강남역에서 떡볶이가 먹고 싶은 날에는 고양이 부엌을 추천합니다!!! ㅎㅎ 입구가 두 곳이 있는데 정문은 골목 쪽에 있습니다 ㅎㅎ 요기가 골목 쪽에 있는 정문 입구에요 길 쪽에 있는 입구로 내려가도 들어는 갈 수 있는데 이왕이면 정문으로 내려갑시다 ~~ ㅎㅎ 떡볶이집은 지하 1층에 있습니다 ~ 내려갈 때 조심하세요!!! 고양이 부엌이라는 이름답게 고양이 그림이 많이 그려져 있어요 사장님이 고양이 키우시나 봐요 매장 안에는 이미 사람들이 엄청 많아요 ㅋㅋ 벽에 붙어있는 칠판에 메뉴가 쓰여있지만 ㅎㅎ 편하게 주문할 수 있도록 각 자리마다 요렇게 있어요 ㅎㅎ 먹고 싶은 떡볶이 인분과 메뉴 사리와 사이드를 고르시고 사장님께 드리면 돼요!!! 떡볶이처럼 사이드 주문이 많은 경우에는 직접 하나하나 말로 주

SOURCES OF POWER DISSIPATION IN CMOS ,CMOS에서 Source의 전력손 [내부링크]

https://www.physicaldesign4u.com/2020/01/sources-of-power-dissipation-in-cmos.html SOURCES OF POWER DISSIPATION IN CMOS VLSI PHYSICAL DESIGN FOR FRESHER will be helpful for the Physical design engineer and to find physical design engineer jobs. www.physicaldesign4u.com 전력 손실의 원인 CMOS 회로의 전력 손실은 두 가지 구성 요소에서 비롯됩니다 Dynamic 손실: 게이트 스위치로 부하 커패시턴스를 충전 및 방전합니다. PMOS와 NMOS가 모두 부분적으로 켜져 있는 동안 "short circuit" 전류 손실 Pdynamic = Pswitching + Pshort circuit Static 손실: OFF 트랜지스터를 통한 subthreshold 이하 누설 게이트 유전체

[추천] 선릉역 맛자랑, 서울 3대 콩국수 맛집, 굴 전문점 [내부링크]

[추천] 선릉역 맛자랑, 서울 3대 콩국수 맛집, 굴 전문점 굴국밥, 비빔국수, 닭칼국수, 굴전 맛집 맛자랑 주소 : 서울 강남구 선릉로 524 107호 맛자랑 시간 : 11:00 - 21:50 21:20 라스트오더 선릉역 8번 출구 나와서 도보 약100m. 버스정류장 보이고 K-Club 입구를 지나시면 동일건물 우측 입구가 보이십니다. 선릉역 맛집을 찾아 보다가, 당근어플에서 강추하는 맛집이 있어서 다녀왔어요!!! 맛자랑 이라는 식당이었는데, 서울 3대 콩국수 맛집이라고 소개 되어 있고 매일 신선한 굴을 공수해 맛있는 굴국밥과 굴전을 즐길 수 있는 곳이라고 하더라고요 도로 방면에서 건물을 보면 안보여요, 선릉쪽에서 건물을 보면 바로 식당을 찾을 수 있어요 ㅎㅎ 생각보다 식당 규모가 매우 컷어요 ㅋㅋ 굴국밥과 보쌈 콩국수가 유명하다고 간판에 쓰여 있네요 매장에 들어가서 손님들이 드시는 메뉴를 확인해보니 골고루 많이 드시더라고요 여기 다 맛있나봐요 ㅋㅋ 이것 저것 다 먹어 보고 싶은데

[추천] 스콘과 딸기라떼 맛집 수유 카페 하남미사점 [내부링크]

[추천] 스콘과 딸기라떼 맛집 수유 카페 하남미사점 작은 카페에 여유롭게 스콘과 딸기 라떼를 즐겨요!! 카페수우 하남미사점 주소 : 경기 하남시 미사강변한강로354번길 3 시간 : 10:00 - 22:00 네비게이션 주소 : 경기도 하남시 미사강변한강로354번길 3 감성 식물 미사카페 수우 (매장 앞 주차 1대, 아이에스비즈타워 20대 가능) 미사카페 주차장 안내 - 걸어서 1분 거리에 아이에스비즈타워 주차장이 있습니다 주중 2시간 주말 5시간 지원! - 대중교통 : 하남풍산역 8번출구 1046m 미사역 8번출구 1022m - 스타필드, 미사, 팔당, 미사리 조정경기장에서 자차로 이용시 네비에 하남미사카페 수우를 검색해주세요 하남 미사리 경기장에 산책을 다녀 왔어요 ㅎㅎ 미사리 경기장으로 주말에 산책 데이트 추천합니다 ~~ 산책하고 커피를 마실 카페를 찾다가 리뷰가 유독 많은 카페가 있어서 다녀왔습니다 ㅎㅎ 카페 수우 ㅎㅎ 조정사거리 인근에 있고, 카페가 크진 않아요 하지만 식물과

[추천]쌍다리 돼지불백, 역삼 직장인 맛집!! [내부링크]

[추천]쌍다리 돼지불백, 직장인 맛집!! 돼지 불고기 백반으로 한 끼 든든하게 먹어요!! 강남 역삼 맛집. 양도 푸짐해서 좋아요 쌍다리돼지불백 역삼직영점 주소 : 서울 강남구 강남대로94길 71 시간 : 10:00 - 21:50 성북동에 50년 된 본점이 있다는 쌍다리 돼지 불백점이에요! ㅎㅎ 돼지 불고기 백반이 먹고 싶었는데, 역삼역 근처에 있어서 다녀왔습니다 ㅎ 점심에 지나가다 봤는데 사람이 진짜 많아서 저녁에 방문을 했어요 방송에도 진짜 많이 출연했더라고요, 본점이 출연했겠죠? ㅋㅋ 입장하니 생각보다 매장이 많이 컸어요 안쪽으로 깊숙이 자리가 많이 있었어요 이렇게 매장이 큰데 점심에 사람이 바글바글하면 장사 잘 되는 곳이네요ㅋㅋ 메뉴를 보니 고기 종류와 냉면까지 곁들여서 먹을 수 있는 세트까지 있는데 처음 방문이니 일단 기본인 돼지불백(특)을 주문했습니다 ㅋㅋ 기본 상차림이 나오고, 쌈장과 마늘장아찌를 옆에서 자유롭게 더 먹을 수 있게 되어 있더라고요 ㅎㅎ 그리고 추가로 반찬이

PLACEMENT [내부링크]

출처 : https://www.physicaldesign4u.com/2020/02/placement.html PLACEMENT VLSI PHYSICAL DESIGN FOR FRESHER will be helpful for the Physical design engineer and to find physical design engineer jobs. www.physicaldesign4u.com checks before doing placement:(배치를 수행하기 전에 확인) Floorplanning, power planing, Physical only cell Endcap cell, Tap cell 배치 완료 후 Base DRC를 확인하고 floorplanning과 관련된 수직간격오차, 수평간격오차, min site row, vertical site row, alignment 등의 오류를 확인합니다. TAP 셀을 삽입한 후 표준 셀이 peaceable 영역에서 TAP 셀에 의해 보호

Clocks [내부링크]

타이밍 분석의 필수 부분은 레이턴시(클록 소스로부터의 지연) 및 불확실성(클록 에지 도착의 스큐 또는 변동량)과 같은 클록 및 클록 효과를 정확하게 지정하는 것입니다. • Clock Overview • Specifying Clocks • Specifying Clock Characteristics • Using Multiple Clocks • Clock Sense • Specifying Pulse Clocks • Timing PLL-Based Designs • Specifying Clock-Gating Setup and Hold Checks • Specifying Internally Generated Clocks • Generated Clock Edge Specific Source Latency Propagation • Clock Mesh Analysis Clock Overview *Multiple clocks : 파형과 주파수가 다른 여러 Clock을 정의할 수 있습니다. Clock

ECO Flow overview (engineering change order) [내부링크]

설계에 타이밍, 설계 규칙 또는 노이즈 위반이 있거나 영역 또는 전력을 최적화하려는 경우 ECO(엔지니어링 변경 주문) 흐름을 사용하여 위반을 수정하고 변경 사항을 구현하고 타이밍 분석을 다시 실행할 수 있습니다. • ECO Fixing Overview • Setting the ECO Options • Physically Aware ECO • ECO Fixing Methods • Reporting Unfixable Violations and Unusable Cells • HyperTrace ECO Fixing • Writing Change Lists • Implementing ECO Changes in Synopsys Layout Tools • Incremental ECO Flow Using Synopsys Tools • ECO Flow Using Reduced Resources • Freeze Silicon ECO Flow • Running ECO Scenarios on Fewer

ECO Fixing Methods [내부링크]

ECO Fixing Methods 적절한 ECO 명령, fix_eco_timing, fix_eco_drc 또는 fix_eco_power를 사용하여 ECO 변경을 제어합니다. 및 -type 및 -methods와 같은 명령 옵션. 수정 방법 중 일부는 다음과 같습니다. • Load Buffering and Load Shielding • Side-Load Cell Sizing • Clock Network ECO Fixing • ECO Hold Fixing Using Load Capacitance Cells • Power Recovery • ECOs With Multiply Instantiated Modules (MIMs) *Load Buffering and Load Shielding PrimeTime 도구는 물리적으로 인식하는 로드 버퍼링 및 로드 차폐를 수행하여 setup 위반을 수정할 수 있으므로 더 많은 hold 위반이 발생합니다. 로드 버퍼링에서 도구는 약한 드라이버를 강화하기 위

[추천]면포도궁 팔당본점, 팔당도나스 추억의 맛! [내부링크]

[추천]면포도궁 팔당본점, 팔당도나스 추억의 맛! 라이딩 하면서 간식으로도 좋고 초계국수 먹고 후식으로 좋은 도나스! 면포도궁 팔당본점 시간 : 화요일~일요일 07:00 - 19:00 매주 월요일 휴무 월요일이 공휴일때는 영업합니다 팔당역 근처에는 초계국수 맛집과 좋은 카페들이 많아요 이곳에 드라이브하러 오시는 분들과 자전거나 오토바이 라이딩을 즐기시는 분들이 많은데 추억에 맛이 생각날 때 면포도궁을 추천 합니다. ㅋㅋ 다리 아래에 초계국수집이 모여있고, 지나가는 차들과 자전거로 복잡한 곳에 사람이 줄서 있는 면포도궁 이라는 도나스 집이 있어요 !! ㅋ 외관은 이렇게 엄청 오래되보이는데 사람들은 엄청나게 줄서 있어요 ㅋ 이렇게 사람이 많은 곳을 저는 그냥 지나치지 못하고 다녀왔습니다ㅋㅋ 줄이 이렇게 많아도 생각보다 금방 금방 줄어 들었어요 그래서 기다릴만 하더라고요, 내부 직원이 많아서 준비를 빨리 빨리 해서 주세요, 포장하는 시간 보다 도나스 종류가 많아서 주문하는데 시간이 더 오

CLOCK TREE SYNTHESIS (CTS) [내부링크]

출처 : https://www.physicaldesign4u.com/2020/02/clock-tree-synthesis.html CTS (PART- I) VLSI PHYSICAL DESIGN FOR FRESHER will be helpful for the Physical design engineer and to find physical design engineer jobs. www.physicaldesign4u.com 클록은 CTS 전에 전파되지 않으므로 CTS 단계에서 클록 트리 빌드 후 hold 타이밍을 고려하고 모든 hold 위반을 충족하려고 합니다. 배치 후 우리는 모든 표준 셀과 매크로의 위치를 지정하고, 배치에서 이상적인 클럭을 가지게 됩니다. (단순화를 위해 전체 디자인에 대해 단일 클럭을 처리한다고 가정합니다). 배치 최적화 단계에서 버퍼 삽입, 게이트 크기 조정 및 기타 최적화 기술은 데이터 경로에만 사용되지만 클럭 경로에서는 아무것도 변경하지 않습니다. CTS는 스

[추천] 스파게티스토리, 가성비 맛집 맛있는데 싸다! [내부링크]

[추천] 스파게티스토리, 가성비 맛집 맛있는데 싸다! 역삼역 회사원들을 위한 스파게티 스토리 스파게티스토리 역삼역점 주소 : 서울 강남구 논현로95길 30 1층 스파게티스토리 역삼역점 시간 : 매일 11:30 - 21:00 역삼역 4번 출구에서314m 역삼역 근처에서 근무하시는 분들이라면 알아야 두어야할 식당! 역삼역, 강남역, 언주역, 신논현역 사이에 위치해 있어서 주변 회사원들이라면 가끔 생각날 스파게티 맛집이 있어요 ㅎㅎ 스파게티스토리! 체인점이여서 다른 지역에도 많은데 이 식당 좋은점은 가격이 너무 저렴한데 맛이 너무 좋다는 거에요 ㅋㅋ 가격이 저렴해서 그런지 매장의 위치는 살짝 안쪽에 위치해 있어서 잘 찾아 가셔야 되요 ㅋㅋ 그래도 찾아간다 ! ㅋㅋ 매장 내부는 작은데 포장 손님이 많으 시더라고요 매장 밖에서 볼수 있게 메뉴판이 붙어 있어요 사실 이 메뉴판 보고면서 대기하는 손님들이 점심에 엄청 많길래 저녁 늦게 찾아와밨어요 ㅋㅋ 자리 마다 메뉴판이 놓여 있어서 보기 좋았어

[추천] 지구당, 텐동 규동 맛집 역삼 선릉 점심 저녁 메뉴 [내부링크]

[추천] 지구당, 텐동 규동 맛집 역삼 선릉 점심 저녁 메뉴 덮밥 메뉴 우동 규동 카레라이스 소바 인기 많은 지구당!! 지구당 역삼두꺼비빌딩점 주소 : 서울 강남구 테헤란로 310 B107호 지구당 시간 : 월 ~금 11:00 - 21:00 14:30 - 17:00 브레이크타임 20:30 라스트오더 휴무 : 토요일, 일요일 선릉역 4번 출구에서338m 선릉역 근처에 지구당이라는 체인점이 두개 보여요, 하나 더 있었는데 없어졌는데 ㅋㅋ 나름 항상 사람이 많아서 다녀왔어요!! ㅋㅋ 선릉역점에 사람이 진짜 많은데 저는 역삼두꺼비빌딩 지하에 있는 매장을 다녀왔습니다 ㅎㅎ 역삼 두꺼비 빌딩 지하에는 식당들이 많은데 지구당 이 중심에 있어요 ㅎㅎ 매장 외부와 내부 모습이야 크진 않지만 이미 점심식사 하러 오신 사람들이 많이 있네요 ㅎㅎ 저는 오늘 텐동 세트를 주문해서 먹을꺼에요 와 근데 대박! 곱뺴기와 보통의 가격이 같아요 ㅋㅋㅋ 대식가인 저에게 별거 아닌거지만 너무 기쁜.. 저는 텐동세트는

[추천]곰스603 논현점, 수제버거 스테이크 파스타 언주역 맛집 [내부링크]

[추천]곰스603 논현점, 언주역 맛집수제버거 스테이크 파스타 맛집 직장인의 점심 저녁 메뉴 오늘은 뭐먹지? 곰스603 논현점 주소 : 서울 강남구 논현로 613 언주역 2번 출구에서119m 시간 : 월요일 ~토요일 11:30 - 21:00 15:00 - 17:00 브레이크타임 20:00 라스트오더 매주 일요일 휴무 점심에 언주역으로 동네 구경을 갔어요~ 그리고 또 어느 식당에 사람들이 줄 서있나 봤더니 요기 곰스603에 사람들이 엄청 많이 서 있더라고요 그래서 저녁에 다녀와봤습니다 ㅋㅋ 저녁에도 매장에 사람들이 쫌 있네요 ㅎㅎ 매장앞에 메뉴판이 있어서 점심에 기다리면서 미리 메뉴를 고르기 좋을것 같네요 매장안이 크지는 않은데 식사를 하면서 보니 배달 주문이 계속 들어오더라고요 주문을 먼저 하고 자리 잡고 앉으면 되는데 저는 오늘 목살 스테이크 곱빼기(250g) 을 주문했습니다 14,500원!!짜리 고기를 매우 좋아하는 저.. 양이 부족하면 안되니깐 곱빼기!!! 주문하고 자리에 앉아

화정by카쿠시타 센터필드점, 명란크림우동 [내부링크]

화정by카쿠시타 센터필드점, 명란크림우동 역삼 센터필드 지하에 새로생긴 화정 화정by카쿠시타 센터필드점 주소 : 서울 강남구 테헤란로 231 b2 화정by카쿠시타 시간 : 매일 10:00 - 21:00 20:00 라스트오더 역삼 센터필드 지하에 새로생긴 화정에 다녀왔습니다 지난번에 계란 꽃치킨카츠를 먹었는데 그날 너무 지쳐서 사진을 못찍어서 못올렸는데 오늘은 명란크림 우동을 먹으러 왔습니다 !! 원래 이곳에 돈코츠라멘과 규동하는 맛집이 있었는데 최근에 새롭게 들어온 화정이라는 식당이에요 메뉴판이 엄청 있어 보입니다 신경을 많이 쓴게 보이네요 명란 크림 우동 가격은 15,000원 비주얼은 엄청납니다 재료도 좋은 재료를 사용한것 같고 그러나 아직 메뉴를 다듬고 있는 느낌이네요 맛이 없다는 아니에요 맛있지만 조금 아쉽다라는 생각이에요 지난번에 계란 꽃치킨카츠도 그렇고 치킨과 튀김옷이 너무 안어울렸는데 좋고 비싼 재료를 쓰고 열심히 노력한 느낌이지만 살짝 뭔가 아쉬워요 대중적인 맛이 아니라

[추천]허머스키친, 코엑스 파르나스몰 HUMMUS 아시아 음식 [내부링크]

[추천]허머스키친, 코엑스 파르나스몰 HUMMUS 아시아 음식 중동 전통요리 및 현대적으로 재해석한 중동요리를 선보이는 Contemporary middle eastern casual dining 허머스키친 주소 : 서울 강남구 테헤란로 521 파르나스몰 지하 1층 F-26호 시간 : 매일 11:00 - 22:00 삼성역 5번 출구에서90m 피카소와 20세기 거장들을 보러 삼성역에 들렸다가 파르나스몰에 허머스 키친을 다녀왔어요 평소 잘 안 먹어봤던 음식을 먹고 싶어서 중동 아시아 요리 전문점인 허머스 키친을 방문했습니다 ㅎㅎ 삼성역 지하철에서 나와서 파르나스몰 통로 바로 오른쪽에 위치해 있는데 밖에서는 입장이 불가하고 ㅎㅎ 요렇게 파르나스몰 안으로 이동하셔야지 허머스 키친에 들어가 실수 있습니다 ㅎㅎ 11시 20분에 도착했는데 이미 사람들이 많이 있네요 혼자 와서 드시는 분들도 많아서 2인석과 4인석이 적절히 배치되어 있었어요! 매장 앞에 중동 아시아 음식 중에 무엇을 파는지 적혀 있

[추천] 농민백암순대 본점, 선릉역 찐 맛집 순대 순댓국 [내부링크]

[추천] 농민백암순대 본점, 선릉역 찐 맛집 순대 순댓국 선릉 직장인들의 점심 저녁을 책임지는 곳! 농민백암순대 본점 주소 : 서울 강남구 선릉로86길 40-4 시간 : 11:10 - 21:00 20:30 라스트오더 빨간날은 쉽니다. 선릉역 1번 출구에서404m 선릉 수요미식회 맛집 순대국밥이 맛있는 농민 백암순대 식당이에요!! 선릉역 골목길에 사람들이 항상 많은 이곳, 점심이나 저녁이나 진짜 사람 너무 많았어요 사람들이 매장안에 바글바글합니다 ㅎㅎ 바로 앞에 매장하나를 더 두고 영업을 하시는데도.. 많은 손님이 감당안되는 이곳.. 평소에도 순대를 너무 좋아해서 여기를 알고나서 바로 오게 되었어요 ㅎㅎ 순댓국은 김치가 중요한데 깍두기의 비주얼과 맛은 아주 만족스럽네요 ㅋㅋ 저는 순대국밥 정식을 주문했습니다 !! 이렇게 장사가 잘되는 곳의 순대만 따로 더 먹고 싶었어요 ㅎㅎ 순대 정식에서 먼저 나온 순대와 고기에요 ㅋㅋ 순대 진짜 먹음직스럽게 생겼네요!! 와 진짜 여기 왜 사람 많은지

lc_shell, LIB 파일을 DB 파일로 전환 [내부링크]

Design compiler 합성을 위해서 LIB 파일을 DB 파일로 전환 해야 합니다 . 파일 전환을 위해 LC_SHELL 이라는 Tool을 사용하면됩니다. 순서는 간단합니다. tool 실행 -> LIB 파일 읽기 -> DB 파일로 저장 *Tool 실행 lc_shell 또는 {Tool_path}/bin/lc_shell *Lib 파일을 읽기 read_lib target_lib.lib *DB 파일로 저장 write_lib target_lib -format db -output target_lib.db

[출산 전 마지막 임신기록] 시험관~ 임신 37주 5일차 / 막달 태동 검사 in 서울아산병원 [내부링크]

2022.10.17 첫 시험관 ~ 2023.06.20 출산 전 마지막 외래까지 서울아산병원 출산 전 미루고 미뤄 왔던 임신일기(?) 분명히 긴 시간들이 그리울 때가 오겠지~ 그러기 전에 후회하지 않도록 첫 시험관 도전에서부터 지금까지의 애똥이와의 추억을 남겨보쟈:) 운이 좋게도 첫 시험관 시술에서 '애똥'이를 만나다 (태명: 애똥) 시험관 시술 후 매일매일 한 임신 테스트기 이식 후에 매일같이 임신 테스트기를 하며 마음 졸이고, 중간에 옅어지기라도 하면 온갖 안 좋은 생각들로 가득 찼던 나날들 하루에도 2, 3번씩 했던 것 같다 < hCG 수치 변화 > 10/27일 (이식 11일차) - 163 10/29일 - 222 10/31일 - 407 11/2일 - 887 11/4일 - 2140 이틀마다 하는 피검사에서 hCG 수치는 더블링 즉 2배씩 뛰어야 하건만 29일에는 그 수치가 더블링이 안돼서 이번 시험관은 실패인가? 몰래 눈물을 훔쳤었다는..... 그래도 더블링은 아니지만 꾸준히 오르

Daisy Chaining SPI Devices [내부링크]

기본 직렬 통신 인터페이스 많은 SPI 장치는 개별적으로 주소를 지정할 수 없습니다. 결과적으로 이러한 장치와 버스의 단일 장치 간의 통신에는 추가 하드웨어 또는 소프트웨어 구성이 필요합니다. 그림 1은 하나의 마이크로컨트롤러가 여러 슬레이브 장치와 통신하는 시스템을 보여줍니다. Daisy-Chain 직렬 인터페이스 애플리케이션의 대체 방법은 직렬로 연결된 장치를 통해 명령을 전파하는 데이지 체인 방식입니다. 그림 2는 데이지 체인 구성의 N-디바이스 시스템을 보여줍니다. 그림 2, Microcontroller with multiple daisy-chained slave devices. 단일 액티브 로우 SS(또는 액티브 로우 CS) 신호가 모든 슬레이브의 액티브 로우 CS 입력을 제어합니다. 모든 슬레이브는 동일한 클럭 신호를 받습니다. 체인의 첫 번째 슬레이브(SLAVE 1)만이 마이크로컨트롤러에서 직접 명령 데이터를 수신합니다. 네트워크의 다른 모든 슬레이브는 체인의 이전 슬레

[추천]강남 풍년집, 참숯불소갈비살 먹으러 가요~ [내부링크]

[추천]강남 풍년집, 참숯불소갈비살 먹으러 가요~ 마늘 양념의 소갈비살이 자신있는 집 메뉴에 소갈비살만 있는 집 ㅋㅋ 풍년집 주소 : 서울 강남구 논현로67길 63 시간 : 매일 11:00 - 24:00 강남역 4번 출구에서 802m, 도보로 약 10분 평소에서 소갈비살 이라는 부위를 매우 좋아하는데 마늘 양념이 되어 있어서 소갈비살이 아주 맛있는 집이 있다고 해서 다녀왔어요~ 강남역에서 살짝 멀리 떨어져 있지만, 맛있는 집이라고 하면 거리를 따지지 않죠! 위치도 별로 안좋은데, 사람이 엄청 많은거 보니 ... 확실히 맛집인가봐요 풍년집이 선릉역 근처에도 있는데 여기가 본점이래요 소갈비살 단일 품목만 판매하고, 된장찌개는 서비스로 제공된다고 되어 있네요? 소갈비살 단일품목 된장찌개 서비스(추가시 5,000원) 와... 먹을때 몰랐어요.. 된장 찌개 서비스 .. 블로그 쓰면서 사진 보고 알았어요 ... 먹긴 먹었는데 ㅋㅋ 주문하고 먹는데 정신 팔려서 ㅋㅋㅋ 몰랏다니 .. 일단 자리에

[추천] 역삼동 카츠하나, 김치카츠나베 완전 맛있어요 [내부링크]

[추천] 역삼동 카츠하나, 김치카츠나베 완전 맛있어요 점심 메뉴로 추천합니다 일본식 돈까쓰 카츠하나 주소 : 서울 강남구 언주로98길 12 지상 1층 101호 시간 : 월요일~금요일 am 11:00 ~ pm 21:00 브레이크 타임 15:00 ~ 17:00 토요일 am 11:00 ~ pm 20:00 매주 일욜일은 휴무 입니다. 이전에 한번 리뷰 했었던 카츠하나에요 어제 김치카츠나베를 먹고 왔는데 너무 맛있어서 .. 강추 할려고 글 하나 추가합니다 ㅋㅋ 식당의 위치는 선릉역에서 조금 떨어져 있는데, 식당이 많은 골목에 위치하고 있어요 주변에 식당이 많은데 불구하고 여기에 매일 줄서있는 카츠하나 에요 먹으러면 빨리 나오셔야 됩니다!!! 오늘 먹은 메뉴는, 김치치즈나베 + 새우 후라이 2pc 를 추가했어요 9,000원 + 4,000원 칼칼한것도 땡기고 돈까스같이 고기도 땡겼는데 찾다보니 이런 메뉴가 있었네요 ㅋㅋ 비쥬얼이 아주 좋아요 김치찌개랑 돈까스를 같이 먹는 느낌인데 국물과 밥만 먹

prime time, getting started [내부링크]

Starting a PrimeTime Session >> pt_shell or >> pt_shell -gui License Checkout pt_shell> list_licenses Getting Help on the Command Line #To list all PrimeTime commands, organized by command group, enter pt_shell> help #To show a brief description of a command, enter pt_shell> help command_name #To show all options and arguments for a command, enter pt_shell> help -verbose command_name #To display the man page of a command, variable, or message, enter pt_shell> man command_variable_or_message_name

[맛집]풍납동 보성각, 숨겨진 찐 중식 달인! [내부링크]

[맛집]풍납동 보성각, 숨겨진 찐 중식 달인! 송파구 풍납동에 맛있는 중국집이 있어요 천호역 근처라서, 천호동 성내동 풍납동 사람들이라면 꼭 한번 가보세요!! ㅋㅋ 보성각중화요리 주소 : 서울 송파구 바람드리길 53 매주 화요일 휴무 10시 30분 오픈 풍납동에 맛집을 찾다가 보성각 이라는 중국집 리뷰가 가장 많이 있더라고요 진성원과 황실짜장 본점 중국집도 있는데 여기에 대한 리뷰 보다 보성각 중화요리 집에 대한 리뷰가 더 많은 것을 보고 가보기로 했지요 사실 여기는 산책 하면서 외관을 보고, 진짜 오래된 집이구나 하고 그냥 지나친 식당 이었어요 ㅋ 진짜 오래되어 보이는 외관이죠? 하지만 다른 블로그 리뷰에 진짜 맛있는 집이라고 되어 있어서 호기심을 가지고 들어가 보았습니다 ㅋ 지상 2층에 위치해 있어요, 지하 1층에도 중국어로된 간판이 있어서 저기가 중국집인가?? 하고 순간 내려갈 뻔 했지만 지상 2층입니다 ㅋㅋ 식당 내부는 매우 작고 오래되어 보이네요 맛만 있다면, 인테리어는 중

[추천]용답역 맛집 도네누 볏짚 통삼겹살! 하동매시길에 꽃구경 갔다 와서 추천해요!! [내부링크]

[추천]용답역 맛집 볏짚 삼겹살 도네누! 성동용답상가시장 가장 사람 많은 고깃집 신선한 쌈 채소 무한리필! 볏짚 향으로 초벌한 삼겹살! 하동매실길 산책하며 꽃 구경하고 삼겹살 먹어요!!! 도네누볏짚통삼겹살 용답점 주소 : 서울 성동구 용답중앙15길 2 시간 : 매일 11:00 - 03:00 답십리역 도보 5분, 용답역 도보 5분 용답역 근처에 청계천의 수변 산책로가 하동 매실길 이라는 이름으로 있는데 벚꽃과 매화가 이쁘게 핀다는 소식을 듣고 산책하러 갔어요 ~ 산책 하러 가는 길에 용답역과 답십리 역 사이에 성동 용답상가 시장 이 있는데 지나 가면서 보니깐 도네누 볏짚 통삼겹살 집에 사람이 엄청 많더라고요, 바로 앞에서 초별하는 볏짚 향이 너무 좋았어요 오늘은 산책 하고 나서 삼겹살이다 !!! 라는 결심을 하게 만들었어요 ㅋㅋ 도네누 볏짚 통 삽겹 !!! 체인점인데 대학생때 가보고 한번도 안가 봤는데 가격이 아직도 많이 착하네요 ㅋㅋㅋ 매장내부에는 사람이 많았어요, 사람 많은 곳은

아우어베이커리 광교앨리웨이점, 광교호수공원에서 커피한잔 [내부링크]

아우어베이커리 광교앨리웨이점, 광교호수공원에서 커피한잔 당일치기 데이트로 좋은 광교호수 아우어베이커리 광교앨리웨이점 주소 : 경기 수원시 영통구 광교호수공원로 80 F동 139,140호 시간 : 매일 09:00 ~ 21:00 광교호수공원 옆에 광교 앨리웨이 라는 광장이 있어요 ㅎㅎ 광교 아이파트 아파트의 상가인데, 여기가 맛있는 식당들과 좋은 카페들이 많이 입점해 있어서 광교호수를 방문해서 주차 해놓고, 산책하고 와서 식사와 카페를 즐기면 주차도 해결할 수 있어서 좋아요!! 오늘은 앨리웨이안에 아우어 베이커리 카페를 다녀왔어요 이곳에 카페가 많은데 진짜 유독 사람이 많은 카페에요, 커피 한잔 마시기 위해 많이 기다렸어요.. ㅋㅋ 정말 사람이 많은 카페 였습니다 아우어는 OUR을 길게 읽어서 발음한 카페 이름인것 같아요 ㅎㅎ 베이커리 라는 카페 이름에 맞게 빵이 이쁘게 진열 되어 있어요 사람들이 빵을 정말 많이 고르더라고요 저는 오늘 이미 밥을 많이 먹고와서 소금빵 하나만 !! 작고

괌, 출국시 공항 식당 리뷰 밥 먹고 비행기 탑시다 [내부링크]

괌, 출국시 공항 식당 리뷰 일식 우동 라면, 도미노 피자, 버거 저가형 항공사 비행기 이용시 꼭 먹고 탑시다 ㅋㅋ 16시 비행기를 타야 하는데 요즘 저가형 항공사의 비행기는 식사가 제공 되지 않아요 ,,, 다시 한국까지 4시간 걸리는데 배가 고프죠 ... 입국 심사에 시간이 얼마나 소요될지 모르니깐, 일찍 가는게 마음이 편하니깐 식사도 가서 기다리면서 하는게 좋지 않을까요? ㅎㅎ 그래서 괌 공항에 있는 식당들 리뷰입니다 ! ㅋㅋ 입국 심사를 하고 나와서 오른쪽과 왼쪽 양 갈래길이 있는데 왼쪽으로 가세요 !! ㅋㅋ 이쪽에 식당이 많아요 다른 쪽은 편의점 같은게 하나 있을 뿐입니다 ㅋㅋ 1. 일본식 라멘과 우동파는 집 2. 카페 3. 도미노 피자 4. 버거킹 5. 핫도그집 끼니를 해결할 곳이 많지는 않아요 ㅠ 저는 일본식 우동을 먹기로 했어요 !!! 일본식 우동 라멘!! 유부우동, 새우튀김 우동, 카레 우동이 있고 소바도 유부 소바, 새우튀김 소바, 카레소바가 있네요 그리고 라멘 메뉴

괌, 별빛 투어사진 인생샷을 남기고 오자!! [내부링크]

괌, 별빛 투어사진 인생샷을 남기고 오자!! 사진한잔 잘 남기고 오면 오래 오래 기억에 남아요ㅎㅎ 괌에서 하는 액티비티나 투어에 별빛 투어가 있었어요 낮에 열심히 놀고 저녁에 인생샷을 남겨야 겠다는 생각과 평소에 쏟아지는 별빛을 보고 싶은 작은 소망이 있었어서 별빛 투어를 신청했지요 어디에서 투어를 신청 하는지에 따라 사진 찍는 장소가 다르다고 했어요 저는 바로괌 투어에서 신청을 했는데 만족 해서 리뷰를 남깁니다 ㅎㅎ https://www.baroguam.com/ 바로괌 - 괌 자유여행 괌 주요 자유여행 정보를 바로괌에서 한눈에 확인하세요. 괌자유여행, 괌한인택시, 괌택시, 괌후기, 괌돌핀크루즈, 괌호텔, 괌맛집 www.baroguam.com 가격은 인당 55,000원 인데 괌 까지 가서 인생샷 하나 남긴다 라고 생각해서 일단 결제를 진행했지요 ㅋㅋ 어떤 날자에 투어를 진행할지 선택하고 결제를 진행하면 하루 전에 몇시까지 픽업을 간다고 카톡으로 연락이 옵니다 ㅎㅎ 그때 약속 잡으시면

UPF & special cells used for power planning [내부링크]

Unified Power Format (UPF): UPF는 상대적으로 높은 수준에서 설계의 강력한 의도를 반영하도록 설계되었습니다. UPF 스크립트는 어떤 파워 레일을 개별 블록으로 라우팅해야 하는지, 블록의 전원을 켜거나 끌 것으로 예상되는 시기, 신호가 한 파워 도메인에서 다른 파워 도메인으로 교차할 때 전압 레벨을 어떻게 전환해야 하는지, 레지스터 및 도메인에 대한 기본 전원 공급 장치가 제거된 경우 메모리 셀 내용 을 다룹니다. UPF(Synopsys)와 유사한 CPF(Common Power Format)(케이던스)의 근간은 TCL(Tool Control Language)입니다. TCL 명령 "create_power_domain"은 파워 도메인과 해당 특성을 정의하는 데 사용되고, 예를 들어, 동일한 칩의 다른 블록에 다르게 공급되는 하나의 전원 도메인으로 취급되는 디자인의 블록 세트를 정의하기 위해 UPF 인식 도구에서 이 명령을 사용하는 경우입니다. 이러한 유형의 명령 뒤

[추천] 수원시 원천동 수원삼계탕원조, 아주대 맛집 [내부링크]

[추천] 수원시 원천동 수원삼계탕원조, 아주대 맛집 구 고려 삼계탕집 몸보신할 때 삼계탕 어때요? 수원삼계탕원조 이전 상호명 : (구)고려삼계탕 주소 : 경기 수원시 영통구 동수원로537번길 6 시간 : 매일 10:00 - 21:00 구 법원사거리 근처, 나누리 병원 뒤에 위치 제가 살던 동네의 오래된 맛집이 있어요 원래는 얼마 전까지 수원지방법원이 바로 근처에 있어서 법원 관계자 및 변호사들 주변 사람들로 인해 사람들이 가득 가득 했던 곳였어요 지금은 법원이 광교로 이사가서 주변에 상가들이 많이 비었는데도, 평일 저녁에 사람들이 많이 찾는 맛집이에요!! ㅎㅎ 이전에는 고려 삼계탕이라는 이름으로 장사를 했었는데 지금은 옆에 새로운 건물을 짓고, 수원삼계탕원조 라는 이름으로 장사를 계속 하고 있습니다 ㅎㅎ 삼계탕이 생각날때 한번쯤 가보시길 추천합니다 !! ㅎㅎ 새로 지어진 삼계탕집 건물입니당 ㅎㅎ 바로 옆에 있는 이전 삼계탕집 건물이에요 ㅋㅋ 맛이 있었으니깐 장사가 잘되고 돈도 많이

[추천]풍납동 유천냉면, 오래된 역사를 가진 맛집! [내부링크]

[추천]풍납동 유천냉면, 오래된 역사를 가진 맛집! 물냉면에 최초로 양념장을 넣은 서울식 유천냉면 얼음이 동동 띄어져 시원한 육수의 물냉면과, 새콤달콤매콤, 특별한 양념의 비빔냉면, 꼬들꼬들한 식감을 자랑하는 회냉면이 있습니다. 유천냉면 주소 : 서울 송파구 강동대로3길 22 시간 : 화요일~ 토요일 10:30 - 21:00 ,20:30 라스트오더 매주 월요일 정기 휴무 8호선 강동구청역 4번출구에서 도보 8분정도 거리입니다. 풍납동 맛집을 찾아 보다가 리뷰가 1000개 이상인 유천냉면 집을 찾았어요 ㅋㅋ 이렇게 리뷰가 많은 맛집이 있나? 하고 바로 달려가 보았지요 ㅋㅋ 가게가 생각보다 규모가 컸어요!! 몽촌토성 산책로 앞에 요런곳이 있는지 몰랐어요 ㅎㅎ 아무 생각 없이 가끔 지나가던 곳이었는데 3시에 갔어요 식사 시간이라고 하기엔 어정쩡한 시간이었는데 사람들이 있는거보고 맛집인가 보다 라는 생각이 들었어요 ㅋㅋ 주문은 각 테이블에 있는 키오스크로 주문하면 돼요 저는 이게 메뉴도 천

Introduction to PrimeTime [내부링크]

PrimeTime은 칩 설계를 위한 설계 및 분석 흐름의 필수 부분인 full-chip, gate-level static timing analysis 을 수행합니다. 로직 시뮬레이션이나 테스트 벡터를 사용하지 않고 타이밍 위반에 대한 모든 경로를 검사하여 설계의 타이밍 성능을 철저하게 검증합니다. PrimeTime tool의 기본 사항 • PrimeTime Capabilities • PrimeTime Product Tiers and Licenses • PrimeTime Add-On Tools • Using PrimeTime in the Implementation Flow • Compatibility With Synopsys Implementation Tools • Overview of Static Timing Analysis PrimeTime Capabilities PrimeTime에서는 다양한 유형의 설계 검사 및 분석을 수행할 수 있습니다. • Design Checks Setu

괌, 도스버거 가장 인기 있는 새우버거 맛집 [내부링크]

괌, 도스버거 가장 인기 있는 새우버거 맛집 사람이 밤낮으로 가장 많은 햄버거 집은 도스버거입니다 !ㅋㅋ 간판부터 괌 넘버원 버거에요 괌에 맛집이 많지는 않은데, 그래도 꼭 먹고 오라고 하는 집이 있어요 도스 버거에요 ㅋㅋ 매장도 두개가 있는데, 사람도 항상 많이 있어요!!! 매장 안으로 들어오니 줄이 이렇게나 기네요 ㅋㅋ 그래도 햄버거 특성상 오래 기다리지 않고 금방 주문하고 받을 수 있었어요 !! 여러분 괌에서 주문할때 영어로 고민안하셔도 되요 다 사진도 있고 한글로 써져 있어요 ㅋㅋㅋ 돈워리!!! ㅋㅋㅋ 저는 오늘 햄버거를 테이크 아웃해서 해변에 가서 먹을꺼에요 !! 스노쿨좀 하다가 햄버거 먹으면 진짜 행복할것 같지 않아요? 일단 가장 유명하다는 새우버거와 저는 그릴드 치즈 버거를 시켯어요 햄버거에 감자 튀김은 기본으로 포함되어 있고 감자 튀김은 양파 튀김으로 바꿀수도 있었어요 그리고 햄버거 종류에 따라서 빵도 참깨 빵과 바질 빵을 선택 할수 있어요!! 여러분의 좋은 선택을 기

괌, Eat Street Grill 고기가 땡기면 여기 어때요? [내부링크]

괌, Eat Street Grill 고기가 땡기면 여기 어때요? 메뉴판의 비쥬얼이 가장 좋다고 생각 되는 그곳? ㅋㅋ 괌 두짓 타니 거리에서 사람 많이 있던 곳이 있어요 여기 eat street grill과 바로 옆에 있는 비치인 쉬림프였어요 두 가게가 붙어 있어서 사람들이 매우 많은 식당이더라고요 ㅎㅎ 둘다 한국인 분들이 운영하신다고 투어 하면서 들은것 같은데 확실하지 모르겠네여 ㅎㅎ 비치인 쉬림프는 확실히 한국분이셨어요 ! 괌에 온만큼 바베큐 같은 고기 메뉴를 먹고 싶었는데 어딜 봐도 여기가 가성비? 사진도 가장 이쁘게 잘 찍은 식당이였어요 그리고 사람도 앞에 많이 기다리고 있는 그런 식당이었어요 ㅋㅋ 그냥 길을 걷다 사람이 많은 식당 가서 밥 먹자 라고 하신다면 여기는 꼭 가게 되실꺼에요 ㅎㅎ 저는 폭립과 여기에서 추천한다는 로코모 라는 음식을 시켰어요 메뉴판에 자기들이 추천하는 메뉴라고 적혀 있는데 밥위에 함박 스테이크가 올라간 메뉴네요 사람이 많아서 대기좀 하다가 들어 갔습

괌, 비치 인 쉬림프 beach in shrimp 가장 맛있는 식당이다!!! [내부링크]

괌, 비치 인 쉬림프 beach in shrimp 가장 맛있는 식당이다!!! 여기는 꼭 가야된다 새우 튀김 먹어야 합니다 !!! 두짓타니 거리에서 가장 사람들이 많이 기다리는 식당은 여기죠! ㅋㅋ 바로 옆에 Eat street grill 도 있어서 두 곳에 같이 웨이팅이 있어서 여기는 확실히 맛집이다 라고 할 수 있는 식당입니다 ㅎㅎ 가장 추천 맛이 해주는 식당이죠 !!! 매장이 이쁘져? ㅋㅋ 앞에 사람들이 많이 기다려요 ㅠㅠ 하지만 기다릴만한 식당이에요 점심에도 저녁에도 사람이 많아서 먹으려면 한번은 기다려야 하네요 ㅜ 매장에 많은 사람들 ㅋㅋ 시원해서 먹기 좋은 곳이었어요!! Previous image Next image 메뉴가 많습니다!!! 다 맛있어 보이는데 어떻게 하죠,, 에휴 고르고 또 골라서 일단 가장 추천이 많은 코코넛 쉬림프 하나랑 포트밸로 버섯 베이컨 갈릭 크림소스 파스타를 주문했어요 ㅎㅎ\ 여기는 진짜 기대가 많이 되는 식당 이었는데 기대를 충분히 만족 시켜주는

괌, 롯데호텔의 라세느 뷔페 La Seine Buffet의 모든것 최신 정보 !!! [내부링크]

괌, 롯데호텔의 라세느 뷔페 La Seine Buffet의 모든것 최신 정보 !!! 일찍 갈 필요가 없습니다 !!! 좋은 자리를 원하신다면 예약을 빨리 하세요 괌의 롯데호텔의 라세느 뷔페를 다녀왔습니다 !!! 목요일 금요일 토요일 일요일에만 운영을 하니 괌 여행시 라세느를 이용하고 싶으신 분들이라면 꼭 참고하세요!! 그리고 목금토일 가격이 다르니깐 참고하세요!!! 일요일이 조금 더 저렴해요 ㅎㅎ 목요일과 금토일 메뉴가 다른데 목요일은 코리안 바베큐가 제공되고 금토일은 씨푸드가 제공 된다고 적혀 있습니다 저는 금요일 저녁에 다녀왔지요~~ 코리안 바베큐는 한국에서 즐길 수 있으니, 이왕 괌에 왔으면 씨푸드가 더 좋지 않을까요? ㅎㅎ 꼭 참고하세요 ~ 라세느 레스토랑은 롯데 호텔의 3층에 위치해 있는데, 프론트가 있는 곳입니다 ㅎㅎ 호텔 입구에 바로 위치해 있어요 1층과 2층에는 수영장과 커뮤니티 시설이 있어서 사실상 아래로 내려가는 지하랍니다 ㅋㅋ 다른 블로그는 좋은 자리를 위해서 일찍

괌, 리틀 피카스 little pikas 투몬베이의 맛집 현지화된 김치 볶음밥!! 메뉴추천합니다 [내부링크]

괌, 리틀 피카스 little pikas 투몬베이의 맛집 사람들이 항상 줄서 있는 식당 간단한 밥 종류가 생각날때 여기 어때요? 괌 현지화된 김치 볶음밥 괌 투몬베이의 현지화된 김치볶음밥을 파는 식당이 있어요 ㅋㅋ 리틀 피카스! Little Pikas 인데 사람은 항상 많아서 대기가 쫌 필요해요 식당 입구에 이름과 사람 수를 적어 놓고 기다리시면 이름을 불러주고 자리를 안내해 준답니다~ 꼭 이름 적으세요 ... 기다리는 사람 없길래 저는 그냥 기다리다가 순서 뺏김 ㅜ 기다리면서 매장 앞에 있는 메뉴판을 보면서 무엇을 먹을지 고민하시면 됩니다 ㅋㅋ 여기도 한글이 잘 보이시죠? ㅋㅋ 괌은 영어를 읽을 일이 별로 없어요... 제주도보다 더 한것 같아여 매장 내부 테이블도 있고 외부에도 테이블이 있어서 날씨가 좋으니 저는 외부 테이블에 앉았아요 날씨를 조금이라도 더 만끽하고 싶어서 여기도 로코모코 라는 현지식 계란 후라이 + 함박스테이크 + 밥 으로 조합된 메뉴가 있어서 많이들 드시는데 음

괌, 캘리포니아 피자 키친 California Pizza Kitchen 메뉴 추천! [내부링크]

괌, 캘리포니아 피자 키친 California Pizza Kitchen 메뉴 추천! 프렌차이즈 식당이지만 괌에서 인기 많은 식당입니다 이름에서 알 수 있듯 피자 전문점인데 ㅎㅎ 늦은 시간(20:00)에 왔는데도 사람들이 많네요 ㅋㅋ 맛집의 기준은 대기줄이죠 ㅎㅎ 앞에서 이름과 사람수를 말씀 드리고 메뉴를 구경합니다 ㅋㅋ 메뉴는 QR 코드로 볼수도 있고 메뉴판을 요청하면 받을 수 있어요 !!! 지금 이 블로그에 QR을 대시면 바로 보실수 있어여 ㅋㅋㅋ Previous image Next image 식당 모습이에요 매우 깔끔하게 잘 관리가 되어 있네요 ㅎㅎ 에어컨도 시원하고 가장 깔끔한 식당이었던거 같네요 오늘 제가 주문한 음식은 갈릭 크림 페투치니와 머시룸 페페로니 피자입니당 !!! 괌 음식은 짜다고 들었는데 그동안 그거 잘 몰랏 거든요 그런데 여기 와서 알았어요 ㅋㅋ 파스타는 괜찮았는데 피자는 쫌 짜더라고요 저는 짜다고 느꼇는데, 와이프는 괜찮다고 하면 개인 편차에 따라 짜다고 느낄

[추천]역삼 스시마카세, 오마카세 초밥 맛집 [내부링크]

[추천]역삼 스시마카세, 오마카세 초밥 맛집 오랜만에 맛있는 오마카세를 먹고 왔어요 가격도 좋고 맛도 좋은 식당 스시마카세 역삼점 주소 : 서울 강남구 논현로94길 7 스시마카세역삼점 시간 : Lunch [1부] 11:40~12:50 [2부] 13:10~14:30 Dinner 평일 18:30~21:00(Last order 19:00) 주말[1부] 18:00~19:40 주말[2부] 20:10~22:00 * 네이버 예약 시 런치 1부 11시 30분 예약은 11시 40분, 런치 2부 13시 예약은 13시 10분, 디너 2부 20시 예약은 20시 10분부터 시작 합니다. * 룸 예약은 3人 이상 가능합니다. 역삼역 7번 출구에서 도보 3분, GS 타워 앞 맛있는 초밥 생각이 나서 주변에 괜찮은 초밥집 없나? 찾아보다가 다녀온 스시마카세 !! 입니다 저는 평소에 오마카세는 8만원 이하는 초밥의 재료가 저렴해 지고, 20만원이 넘어가면 쫌 과하다 라는 생각을 가지고 있는데 여기는 아직 10만원

괌 공항 입국, 택시 꿀팁과 USIM 카드 정보, 공항세 공유, 바로괌 픽업택시 추천 [내부링크]

괌 공항에 도착하면 Welcome to GAUM 이라는 정보가 저희를 반겨 줍니다. 짐 나오는게 한국과 다르게 매우 느리기 때문에 비행기에서 서둘러 내리실 필요 없고 천천히 내려서 입국심사도 천천히 받고 나오세요 .. ㅋㅋㅋ 짐이 나오다 말고, 나오다 말고... 한국인으로서 답답합니다 ㅋㅋ 택시, TAXI 공항에서는 할께 없어요 ㅋㅋ 휴양지로 여행 왔으니 빨리 숙소에 짐 맡기고 놀아야 겠죠? 택시를 이용해야 합니다 !! 리조트가 모여있는 곳 까지 보통 10분 ~15분 정도 걸려서, 가끔 차도 막혔어요 다른 블로그에 택시이용을 리뷰하신것들 보니깐 40달러 정도 지불 하셨더라고요 공항에 보니깐 카카오 택시와 연계되어 있어 보이는 MIKI 라는 택시 서비스가 있더라고요 미리 공항픽업 서비스를 예약하시지 않은 분들이라면, 그리고 영어가 힘드신 분들이라면 이용할만 하겠죠? ㅋㅋㅋ 그러나 제가 이용한건 바로괌 택시 .. ㅋㅋ 바로괌, 공항픽업택시 저는 바로괌의 픽업 택시를 미리 예약해 두었어요

Power planning [내부링크]

2000년 이전에는 딜레이와 성능이 가장 중요한 변수였는데, 누군가가 회로를 설계하는 경우 칩의 회로가 차지하는 면적과 속도가 얼마나 적은지에 주요 초점이 맞춰졌습니다. 이제 상황이 바뀌었고 성능과 속도는 부차적인 관심사입니다. 모든 나노미터(deep sub-micron)에서 기술력은 설계에서 가장 중요한 매개변수가 됩니다. 거의 모든 휴대용 장치는 배터리 전원으로 실행됩니다. 기술이 축소됨에 따라 전력 소비는 현대 VLSI 설계에서 아래 3가지는 매우 큰 과제입니다. 1. 소형 칩에서 증가하는 트랜지스터 수 2. 더 빠른 작업 속도 3. 더 큰 장치 누설 전류 Grid structure(그리드 구조): 전력 계획이란 설계에 존재하는 모든 매크로, 표준 셀 및 기타 모든 셀에 전력을 공급하는 것을 의미합니다. 전원 및 접지망은 일반적으로 금속층에 배치됩니다. 여기에서 IO 패드와 코어 로직 모두에 대한 전원 및 접지 구조를 만듭니다. IO 패드 전원 및 접지 버스는 패드 자체에 내장

괌, 카프리쵸사 이탈리안 레스토랑 메뉴와 꿀팁!!!! [내부링크]

괌, 카프리쵸사 이탈리안 레스토랑 메뉴와 꿀팁!!!! 괌에 가서 무엇을 먹어야 열심히 검색해보았는데 그 중 추천이 있는 카프리쵸사를 다녀왔습니다 이탈리안 레스토랑이고, 양이 매우 많다고 했는데 얼마나 많은지 보여드릴께요 !! ㅋㅋㅋ 한국어로 카프리쵸사 라고 적혀 있어서 잘 찾아갈수 있어요 ㅎㅎ 인테리어가 이탈리아의 산토리니? 느낌이 들지 않나요? 보이시는 계단으로 입장하셔도 되지만, 여기는 외국이기 때문에 매장 앞에서 안내를 받고 입장 하셔야 되요 ㅎㅎ 엘레베이터 또는 건물 내부의 계단을 이용하시는 분들은 2층으로 가시면 됩니다 ㅎㅎ 요기가 건물 내부 계단 또는 엘레베이터를 통해 입장 했을때 모습이에요 ㅎㅎ 앞에서 잠시 대기하면 직원분이 곧 몇명인지 물어보고 자리를 안내해 줍니다 식당 내부의 모습이에요 야외 테이블도 있어서 날씨 좋을때 야외에서 먹는것도 좋아 보여요 각 테이블 기본 셋팅이에요, 이탈리아 레스토랑 답게 핫소스가 있네여 ㅋㅋㅋ 메뉴판 ㅋㅋㅋㅋ 여기는 괌의 식당이지만 영어

괌, 돌핀투어의 모든것!! 개꿀팁 ㅋㅋ 스노쿨과 낚시도 하고 참치도 먹는 투어 [내부링크]

괌, 돌핀투어의 모든것!! 개꿀팁 ㅋㅋ 스노쿨과 낚시도 하고 참치도 먹는 투어 괌에가면 꼭 해야 하는 투어가 있죠!! ㅋㅋ 돌핀투어에요 남부투어나 정글투어 별빛 투어도 있지만 딱 하나를 해야 한다고 하면 돌핀 투어를 추천해요!!! 이쁜 바다가 있는 휴양지에 갔으면 요트를 타고 바다에 나가고, 이쁜 돌고래와 거북이를 보고 와야죠!!! 꼭 볼수 있다는 장담은 못하지만, 요트를 타고 바다에 나가는 것만으로도 좋은 추억이 되는 투어였어여 저는 이번에 바로괌에서 예약 하고 투어를 진행해 봣어요 어떤 요트를 탈지는 여행사에서 진행 하기 때문에 모르겠는데 제가 탄 배의 가이드 님이 너무 열심히 재미잇게 해줘서 기분이 좋앗어여!! ㅋㅋ https://www.baroguam.com/fit/5163 돌핀 크루즈 럭셔리 크루즈를 타고 바다 위에서 즐기는 다양한 프로그램 신비로운 돌고래와의 만남! 선상 위에서 직접 감상해 보세요. 가족, 연인, 친구분들과 함께 멋진 추억을 만드세요. www.barogua

Design compiler의 Pipelined-Logic Retiming [내부링크]

Pipelined-Logic Retiming Register retiming은 DC Ultra가 디자인의 조합 논리 게이트를 통해 레지스터를 이동하여 타이밍과 영역을 최적화하는 데 사용하는 순차적인 최적화 기술입니다. 이 문맥에서 레지스터라는 용어는 달리 명시되지 않는 한 에지 트리거 레지스터와 레벨 감지 래치를 모두 나타냅니다. 순차 셀의 두 유형 모두 시간을 재조정할 수 있습니다. optimize_registers 명령을 사용하거나 set_optimize_registers 명령 다음에 compile_ultra 명령을 사용하여 파이프라인 로직 리타이밍을 활성화합니다. Pipelined-Logic Retiming Overview DC Ultra는 레지스터 리타이밍을 위해 다음과 같은 방법을 지원합니다. • Adaptive retiming compile_ultra 명령과 함께 -retime 옵션을 사용하여 이 시간 retiming 방법을 활성화합니다. 이 경우 DC Ultra는 로컬에

Design compiler의 Setup File [내부링크]

synopsys_dc.setup File # Define the target logic library, symbol library, # and link libraries set target_library lsi_10k.db set symbol_library lsi_10k.sdb set link_library [concat $target_library "*"] set search_path [concat $search_path ./src] set designer "Your Name" set company "Synopsys, Inc." # Define path directories for file locations set source_path "./src/" set script_path "./scr/" set log_path "./log/" set ddc_path "./ddc/" set db_path "./db/" set netlist_path "./netlist/" #Design #co

Design compiler의 Default Constraints File [내부링크]

defaults.con # Define system clock period set clk_period 20 # Create real clock if clock port is found if {[sizeof_collection [get_ports clk]] > 0} { set clk_name clk create_clock -period $clk_period clk } # Create virtual clock if clock port is not found if {[sizeof_collection [get_ports clk]] == 0} { set clk_name vclk create_clock -period $clk_period -name vclk } # Apply default drive strengths and typical loads # for I/O ports set_load 1.5 [all_outputs] set_driving_cell -lib_cell IV [all_inpu

Design compiler의 Read Script [내부링크]

read.tcl read_file -format verilog ChipLevel.v read_file -format verilog Adder16.v read_file -format verilog CascadeMod.v read_file -format verilog Adder8.v read_file -format verilog Counter.v read_file -format verilog Comparator.v read_file -format verilog Multiply8x8.v read_file -format verilog Multiply16x16.v read_file -format verilog MuxMod.v read_file -format verilog PathSegment.v #Design #compiler #Read #Script

Design compiler의 Compile Scripts [내부링크]

run.tcl # Initial compile with estimated constraints source "${script_path}initial_compile.tcl" current_design ChipLevel if {[shell_is_in_xg_mode]==0}{ write -hier -o "${db_path}ChipLevel_init.db" } else { write -format ddc -hier -o "${ddc_path}ChipLevel_init.ddc"} # Characterize and write_script for all modules source "${script_path}characterize.tcl" # Recompile all modules using write_script constraints remove_design -all source "${script_path}recompile.tcl" current_design ChipLevel if {[shell

Design compiler의 Basic Commands [내부링크]

필히 알아야할 기본 명령어들 설계 규칙 정의 명령 set_max_capacitance 지정된 포트 또는 디자인의 모든 네트에 연결된 네트의 최대 정전 용량을 설정합니다. set_max_fanout 출력 포트에 대한 예상 팬아웃 로드 값을 설정합니다. set_max_transition 지정된 포트 또는 디자인의 모든 네트에 연결된 네트에 대한 최대 전환 시간을 설정합니다. set_min_capacitance 지정된 포트 또는 설계의 모든 네트에 연결된 네트에 대한 최소 커패시턴스를 설정합니다. 설계 환경 정의 명령 set_drive 입력 또는 입력 포트의 드라이브 값을 설정합니다. set_drive 명령은 set_driving_cell 명령으로 대체됩니다. set_driving_cell 라이브러리 셀 또는 라이브러리 핀이 포트를 구동하도록 지정하여 입력 또는 입력 포트에 속성을 설정합니다. 이 명령은 지연 계산기가 외부 드라이버의 구동 기능을 정확하게 모델링할 수 있도록 라이브러리 핀

Design compiler의 Verifying Functional Equivalence [내부링크]

Verifying Functional Equivalence 최적화 후에 동등성 검사 도구를 사용하여 gatelevel 넷리스트가 RTL과 기능적으로 동일한지 확인할 수 있습니다. 이 검증 단계는 합성 프로세스 또는 수동 설계 변경으로 인해 기능 오류가 발생하지 않았는지 확인합니다. 다음 항목에 설명된 대로 Synopsys Formality 또는 타사 Formality 검증 도구를 사용하여 Formality 동등성 검사를 수행할 수 있습니다. Setting Up SVF Generation Design Compiler 도구는 Formality 검증 도구에서 일치하는 동안 비교 지점의 정렬을 용이하게 하기 위해 설정 정보를 기록합니다. To record a setup information file • Formality , set_svf 명령 사용 • 다른 tool은 set_vsdc 명령을 사용합니다. set_svf 명령 실행을 중지하려면 set_svf -off 명령을 사용하거나 dc_sh

[추천] 성내동 별미 아구찜 동태탕, 해물찜 맛집 [내부링크]

[추천] 성내동 별미 아구찜 동태탕, 해물찜 맛집 천호역 강동역 사이에 맛있는 별미 아구찜을 소개합니다 별미아구찜동태탕 주소 : 서울 강동구 천호대로162길 11 시간 : 매일 11:00 ~22:00, 화요일 휴무 천호역 6번 출구에서 도보 6분, 강동역 4번 출구에서 도보 5분 해물찜 해물탕, 아구찜 아구탕, 동태탕 같이 찜요리나 탕요리가 땡기는날 성내동의 별미 아구찜 동태탕 집을 추천해요!! ㅋㅋ 여기는 천호역과 강동역 사이에 있고, 쭈구미 골목에서 조금 떨어진 곳에 있어서 동네 사람들만 아는 맛집이에요, 젊은 분들 보다 나이 있으신 분들이 술한잔 하면서 많이 드시는 찐맛집!! ㅋㅋㅋ 산책 하다 보면 항상 사람들이 줄서있는걸 볼 수 있어요 사람이 많은거 보이시죠? ㅋㅋ 앞에 대기하시는 분들을 위한 의자도 준비되어 있어요 맛집이라는게 증명!! ㅋㅋ 메뉴판에서 알수 있듯 여기는 해물을 이용한 탕과 찜 전문점이에요 가게 이름이 별미 아구찜과 동태탕 인거 보면 주력 메뉴가 아구와 동태인

what is Floorplanning [내부링크]

Floor planning: Floorplanning은 모든 물리적 디자인의 예술입니다. 훌륭하고 완벽한 평면도는 더 높은 성능과 최적의 면적을 가진 ASIC 설계로 이어집니다. Floorplanning은은 I/O 패드 및 매크로의 배치와 전원 및 접지 구조를 다루기 때문에 까다로울 수 있습니다. 평면도에 들어가기 전에 입력이 평면도에 사용되는지 확인하기 위해 평면도가 제대로 준비되었는지 확인합니다. Inputs for floorplan: 1. Netlist (.v) 2. Technology file (techlef) 3. Timing Library files (.lib) 4. Physical library (.lef) 5. Synopsys design constraints (.sdc) 6. Tlu+ ASIC design After physical design 가져온 넷리스트와 해당 라이브러리 및 기술 파일을 사용하여 물리적 설계 데이터베이스 생성 후 단계는 다음과 같습니다. 1.

PHYSICAL ONLY CELLS [내부링크]

PHYSICAL ONLY CELLS: 물리적 전용 cell : 이러한 셀은 디자인 넷리스트에 없고, 셀 이름이 현재 디자인에 없으면 물리적 전용 셀로 간주되어. 그들은 타이밍 경로 보고서에 나타나지 않습니다. 칩을 마무리하기 위해 만들어진 cell들 입니다 . Tap cells: >탭 셀은 웰 타이, 기판 타이 또는 둘 다 있는 특수한 비논리 셀입니다. >탭 셀은 디자인 룰 매뉴얼에 주어진 standard 셀 행과 두 탭 셀 사이의 거리에 일정한 간격으로 배치됩니다. >이러한 셀은 일반적으로 라이브러리의 대부분 또는 모든 standard 셀에 substrate 이나 well 탭이 포함되어 있지 않을 때 사용됩니다. >일반적으로 설계 규칙은 standard 셀의 모든 트랜지스터와 well 또는 substrate 탭 사이에 허용되는 최대 거리를 지정합니다. >global 배치 전에(바닥 계획 단계에서) 블록에 tap 셀을 삽입하여 2차원 배열 구조를 형성하여 이후에 배치되는 모든 sta

[추천] 녹사평역의 맛집 여인숙, 스테이크와 파스타를 와인과 즐길수 있는 분위기 좋은곳! [내부링크]

[추천] 녹사평역의 맛집 여인숙, 스테이크와 파스타를 와인과 즐길수 있는 분위기 좋은곳! 숙소가 아니에요! 음식점입니다 ㅎㅎ 와인바, 스테이크와 파스타가 맛있는 곳이에요 검색 할때 녹사평 여인숙 또는 이태원 여인숙으로 검색해주세요 더글로리 단골 회식장소 여인숙 주소 : 서울 용산구 녹사평대로42길 19 시간 : 매일 18:00 - 02:00(연중무) 녹사평역 3번 출구에서377m, 도보로 6분 거리 전화 : 010-4194-4588 오늘은 기버터 스테이크가 맛있는 와인바를 다녀왔어요 ㅎㅎ 처음에 매장을 소개 받고, 네이버 지도에 여인숙 이라고 검색하니깐 진짜 여인숙만 나와서 당황했는데 지역 명을 같이 검색하니깐 나오더라고요 ㅎㅎㅎ 이태원 또는 녹사평을 같이 검색하세여 !! 최근에 더글로리 단골 회식 장소로도 알려졌더라고요 매장이 골목 안에 있어서 이 골목이 맞나? 하면서 들어 갔는데 가다 보니 여인숙 표지판이 보여서 잘 찾아 갔어요 (이제는 스마트 폰 없으면 어디 못가여..ㅋㅋ) 진

[추천]라뷰 베이커리카페, 양평 남한강을 보면서 빵과 커피 어때요? [내부링크]

[추천]라뷰 베이커리카페, 양평 남한강을 보면서 빵과 커피 어때요? 남한강이 흐르는 야외 테라스에 앉아서 아메리카노 한잔 라뷰 베이커리카페 주소 : 경기 양평군 강하면 강남로 355 네비게이션에 '강남로 355'를 검색하시고 찾아가시면 되요. 주차장은 크니깐 걱정 안해도 되요 ㅎ 남한강변에 좋은 뷰 맛집이 있어서 다녀왔어요 ㅎㅎ 양평에 위치한 라뷰 베이커리 카페에요 빵이 맛있고, 노래 듣기 좋은 곳이라고 해서 왔는데 진짜 남한강에 붙어 있는 카페였어요 ㅎㅎ 카페가 건물이 두개가 있어요 규모가 생각 보다 큰 카페에요, 앞에 조각상들이 반겨 주네요 ㅋㅋㅋㅋ 날씨가 흐리지만 그래도 뷰가 좋은 곳에서 커피를 마시기 위해 왔지요 매장이 크죠? 이게 건물 두개중 하나의 공간이에요 ㅎㅎ 베이커리라는 이름 답게 매장 중앙에 빵 진열대가 크게 있고, 좌석이 많이 있네요, 또 의자가 종류가 다양해서 취향대로 편한데로 앉으시면 좋을것 같네요 오늘 제가 고른 빵과 커피 ㅎㅎ 아메리카노는 향이 너무 좋더라

Design compiler의 Adaptive Retiming [내부링크]

Adaptive retiming을 사용하면 최적화 중에 레지스터와 래치를 이동하여 타이밍을 개선할 수 있습니다. -retime 옵션과 함께 compile_ultra 명령을 사용하면 와이어 로드 모드 및 지형 모드의 디자인 컴파일러가 자동으로 Adaptive retiming을 수행합니다. Adaptive retiming은 일반 디자인을 최적화하는 데 사용하기 위한 것이고, 그것은 optimize_registers 및 set_optimize_registers 명령과 함께 사용할 수 있는 pipelined-logic 리타이밍 엔진을 대체하지 않습니다. Comparing Adaptive Retiming With Pipelined-Logic Retiming Adaptive Retiming과 Pipelined-Logic Retiming 비교 Adaptive retiming은 WNS(Worst Negative Slack)를 개선하기 위해 레지스터와 래치를 이동합니다. 데이터 경로 설계의 경우 o

what is physical design [내부링크]

physical design이란 netlist(.v 파일을) GDSII(layout) 형태로 변환한 cell들의 논리적 연결성을 physical 연결성으로 변환하는것을 말합니다. physical design 중에 모든 design component는 기하학적 표현으로 인스턴스화 됩니다. 모양과 크기가 고정된 모든 all macros, cells, gates, transistors, etc 는 공간적 위치에 할당되고, metal layer에 적절하게 연결합니다. physical design은 회로의 circuit performance, area, reliability, power, and manufacturing yield 에 바로 영향을 줍니다. Physical design means --->> netlist (.v ) converted into GDSII form(layout form) logical connectivity of cells converted into physical

[추천] 건대 훠궈맛집 얼땅쟈, 사천식 돌솥 꼬챙이 훠궈전문점, 중국 맛을 느끼고 싶을때 내가 먹고싶은 꼬치집 [내부링크]

[추천] 건대 훠궈맛집 얼땅쟈, 사천식 돌솥 꼬챙이 훠궈전문점, 중국 맛을 느끼고 싶을때 내가 먹고싶은 꼬치집 얼땅쟈 주소 : 서울 광진구 동일로18길 68 시간 : 11:00 ~ 24:00 2호선 7호선 건대입구역 6번 출구에서306m 중국 음식중에 훠궈가 땡길때 있지않아요? 훠궈를 한번이라도 먹어본 사람이라면 훠궈 특유의 중독성 때문에 종종 생각나게 되요 ㅎㅎ 건대에 놀러 가면 자양동 중국음식골목이 있어요 건대판 차이나 타운 이라고 생각해도 되요 ㅋㅋ 여기 안가보신분이라면 한번쯤 꼭 가보라고 추천합니다 이 골목은 입구 부터 중국의 향이 강하게 느껴지는 곳인데, 간판도 중국어로 된곳이 많아여 진짜로 중국인들이 많이 모여사는 곳이고, 중국분들이 운영하는 식당들이 많이요 오늘은 자양동에 있는 얼땅쟈 라는 훠궈 집을 뎅겨 왔습니다 ㅎㅎ 여기는 본관도 있고 별과도 있어요~ 오늘 저는 본관으로 !!! GoGo 저는 한자는 잘 모르지만, 저 한자가 얼땅쟈라는 뜻인가봐여 ㅋㅋㅋ 돌솥꼬챙이훠궈를

[추천]서울 석촌호수 맛집 천하장사풍천장어, 장어구이 맛집 [내부링크]

[추천]서울 석촌호수 맛집 천하장사풍천장어, 장어구이 맛집 기력이 떨어져 힘이 없고 면역력을 강화하고 원기회복이 필요할 때는 장어구이!! 잠실 방이동의 맛집 회식같은 단체 모임하기 좋은 장소 천하장사풍천장어 주소 : 서울 송파구 오금로 113 2층 시간 : 매일 11:00 ~22:00 라스트오더 21:00 전화 : 02-2214-6000 2호선 잠실역 10번출구 도보 8분 송파구청,방이맛골 지나서 방이삼거리 앞. 9호선 송파나루역 2번출구 직진으로 도보 6분 방이삼거리 앞. 횡성한우 건물 2층. 우측 천하장사 풍천장어는 석촌호수에 삼거리 바로 옆에 위치하고 있어요~ 입구는 명인갈비(횡성한우)와 같아요 2층으로 올라가시면 됩니다 ~ 석촌호수에서 산책하다 배고파져서 먹기에도 좋고, 맛있게 장어를 먹고 산책하면서 커피 한잔 하기 좋은 식당의 위치에요!! 석촌호수가 산책하기 좋은건 다 아시죠? ㅎㅎ 1층은 명인갈비 2층은 풍천장어 에요~ 2층으로 올라오면 이렇게 넗은 홀이 있어요 식당이 매

inputs for physical design [내부링크]

Name of Inputs File format Given by Netlist .v (Verilog) synthesis team Synopsys Design Constraints (SDC) .sdc(written in TCL) synthesis team Timing library/logical library .lib(liberty file) vendors Physical library .lef(layout exchange format) vendors Technology file .techlef/.tf foundry TLU+(Table Look Up) .tlup foundry Description of all inputs Netlist : 넷리스트는 게이트 모음이며, 게이트 회로 구성 요소에는 논리 게이트, 조합 회로, 순차 회로 등이 있습니다 example of netlist: module and_gate(y,a,b); input a,b; output y; AND2 U1(.Y(y),

밀도 카페, 앨리웨이 광교 내에 카우스 광장 [내부링크]

밀도 카페, 앨리웨이 광교 내에 카우스 광장 야외에서 빵이랑 커피 마시기 좋은 카페 강아지들이 돌아 다니는 곳, 산책하다 커피 한잔 밀도 광교점 주소 : 경기 수원시 영통구 광교호수공원로 80 141,142,143호 시간 : 10:00 ~ 21:00 광교 호수공원 맞은편 앨리웨이 광교 내에 카우스 광장에 위치하고 있습니다. 저는 주말에 강아지들과 광교까지 산책을 자주 가요 ~ 밖에서 강아지들이랑 빵먹고 커피 한잔 하는걸 즐기는데 그 중에서 자주 가는 카페인 밀도 카페에요 카페가 광교 앨리웨이에 있어서 그 앞 광장에 야외 광장에서 즐기다 와요 ㅎㅎ 그날의 온도와 습도의 작은 차이까지 세심하게 고려해 맛있는 식빵을 구워냅니다. 라고 쓰여 있는데 ㅎㅎ 확실히 빵이 맛있어서 종종 찾아요 강아지들이랑 산책하다 먹는 빵과 커피는 생각보다 많이 맛있어여 !! 빵좋아하시는 분들이라면 한번 찾아가 보세요 ㅋㅋ 근데 사람이 항상 많아서;; 근데 바로 앞이 광교 호수 공원이라서 광교호수공원 벤치 가서

What are the sanity checks before going to start physical design flow [내부링크]

물리적 설계 흐름을 시작하기 전에 온전성 검사는 무엇일까요? Sanity check : 라이브러리 팀과 합성 팀에서 받은 입력이 올바른지 여부를 확인합니다. 이러한 확인을 수행하지 않으면 설계 후반 단계에서 문제가 발생합니다. 기본적으로 다음 입력 파일을 확인하고 있으며 이러한 파일이 완전하고 오류가 없는지 확인합니다. 1. design/netlist checks 2. SDC checks 3. Library checks Design checks: 현재 디자인이 일관성이 있는지 확인 넷리스트의 품질을 확인하고 다음을 식별합니다. 1. Floating pins 2. Multidriven nets 3. Undriven input ports 4. Unloaded outputs 5. Unconstrained pins 6. Pin mismatch counts between an instance and its reference 7. Tristate buses with non-tristate dr

[강추] 아차산로 59 카페, 고양이 카페로 강아지도 동반 가능한 한옥 카페, 서울 근교 구리의 추천합니다!! 데이트 코스 강추! [내부링크]

[강추] 아차산로 59 카페, 고양이 카페로 강아지도 동반 가능한 한옥 카페, 서울 근교 구리의 추천합니다!! 아차산 아래의 엄청난 카페를 발견 했어요 ㅎㅎ 이쁜 한옥에서 고양이들이 낮잠자고 놀고 있고, 맛있는 시그니처 커피를 즐길 수 있어요 !! 애견도 동반 가능한 애니멀 카페!!! 꼭 다녀오세요 커피 한잔하시고, 옆에 묘향만두 맛집과 고구려 대장간 마을로 데이트 강추 합니다 ! 아차산로 59 카페 주소 : 경기 구리시 아차산로 59 시간 : 10:00 ~ 22:00 매월 마지막주 월요일은 휴무 우미내검문소, 고구려 대장간마을 버스정류장을 이용 또는 자차로 이동 하셔야 해요 광나루 역에서 버스를 이용하시길 추천합니다 아차산 아래에 이쁜 카페가 없을까? 커피 한잔하고 산책도 즐길 만한 곳 없을까? 해서 인터넷 검색해서 찾은 대박 카페입니다 !! 대박 카페라고 해보는게 처음이네요 ㅎㅎ 아차산 아래에 경기도 구리에 위치해 있는 카페이고, 모향 만두 맛집에서 식사하시고 바로 옆에 위치한

[맛집] 아차산 아래 묘향만두, 서울 근교 구리의 만두맛집 평양식 손만두 [내부링크]

[맛집] 아차산 아래 묘향만두, 서울 근교 구리의 만두맛집 아차산 아래에 있는 묘향만두에서 맛있는 만두 요리를 먹고 아차산 산책 및 아차산로59 카페 어떠세요? 워커힐맛집 만두국 뚝배기 시원한 오이소박이국수 묘향만두 주소 : 경기 구리시 아차산로 63 묘향만두 시간 : 09:30~21:30 라스트 오더 : 21:00 찾아가는길 - 워커힐에서 구리방향 1km 지점 검문소 왼쪽에 위치. 아차산에서 산책하고 나서 맛집 없을까?? 찾다 보니 묘향 만두 라는 가게를 찾게되었어여 ㅋㅋ 여기 뭔데 리뷰가 많지? 해서 저도 다녀왔습니다 만두피가 도톰한 묘향산 평양식 손만두 전문점입니다. 손님으로 북적여 활기찬 느낌인데요. 양념 고기가 꽉 찬 주머니 모양의 손만두찜과 시원한 국물의 손만두국, 얼큰한 맛의 묘향뚝배기, 바삭하게 익힌 녹두전이 인기 메뉴 라고 쓰여 있어여 ㅋㅋ 길가에 위치해 있어요 길 가다 보면 유난히 차가 많아서, 앞에 발렛해주시는 아저씨들이 서있고 차가 유난히 많은 집이 딱 보이더라

[추천]아차산 고구려 대장간마을 체험, 서울 근교 구리에서 산책 및 데이트 코스, 박물관 아이들 학습 [내부링크]

[추천]아차산 고구려 대장간마을 체험, 서울 근교 구리에서 산책 및 데이트 코스 묘향만두에서 밥먹고, 아차산로 59 카페에서 커피한잔 후에 고구려 대장간마을에서 무료로 구경도 하고 산책하는거 어때요? 드라마 영화 촬영장 세트 박물관으로 아이들 체험학습 구리시 고구려대장간마을 장소 : 경기 구리시 우미내길 41 시간 : 평일 : 09:00 ~ 18:00 주말 : 09:00 ~ 19:00(공휴일 포함) 입장료 무료, 주차 가능 박물관으로서 아차산 고구려 유적전시관과 야외전시물로 구성되어 있으며, 드라마 촬영지로 유명하고, 온 가족이 함께할 수 있는 고구려 체험 학습장으로 운영되고 있어요 ~ https://www.guri.go.kr/gbv/index.do 구리시 고구려대장간마을 www.guri.go.kr 현장안내소 방문 (이용 시간 10:00~17:00) 정규해설(10시/13시/14시 30분/15시 30분(1일 4회 진행, 소요 시간 1시간) 단체(20인 이상)해설 및 아차산 고구려유적

[추천]역삼 소이연남, 태국음식 쌀국수 소이뽀삐아 맛집, 국물이 진한 태국식 갈비 국수 [내부링크]

[추천]역삼 소이연남, 태국음식 쌀국수 소이뽀삐아 맛집, 국물이 진한 태국식 갈비 국수 태국을 느낄수 있는 맛집 제가 개인적으로 먹어본 쌀국수 중에 가장 맛있어서 리뷰 올립니다! 소이연남 더샵스앳센터필드 주소 : 서울 강남구 테헤란로 231 지하2층 WB06호 시간 : 매일 11:00 - 21:00 15:00에 브레이크타임 역삼역 8출구에서 도보 8분 선릉역 5번 출구에서 도보 8분 역삼역 8번 출구에서411m 역삼 센터필드 지하의 식당가에는 항상 사람들이 바글바글한데 그중에서도 사람이 웨이팅이 가장 많은 식당 중 한곳인 소이연남이에요 여기는 사람이 많아도 회전률이 어마어마하게 빨라서 기다릴만한 식당입니다 !!! 저 11시 반에 도착했는데 벌써 사람들로 꽉차서 앞에 15명을 기다려야 해요 ...ㅋ 도착하시자 마자 빨리 테블릿에 대기를 거세요 ㅋㅋ 근데 현재 대기는 13팀인데, 예상대기시간이 15분으로 되어 있잖아요 이게 진짜 회전율이 빠르다는 증거입니다 ㅋㅋ 저 실제 기다린 시간은

[추천] 역삼동 스시담다, 점심에 깔끔한 초밥 어때요? [내부링크]

[추천] 역삼동 스시담다, 점심에 깔끔한 초밥 어때요? 점심에는 깔끔한 초밥 + 우동 or 모밀 런치 셋트 저녁에는 혼술 사시미 부터 커플 사시미 까지 근처 초밥집 중에는 Best 인것 같아요 ㅋㅋ 스시담다 역삼 주소 : 서울 강남구 언주로 506 시간 : 11:00 ~ 24:00 23:50 라스트 오더 매주 토요일 일요일은 휴무 역삼역 8출구에서 도보 8분 선릉역 5번 출구에서 도보 8분 역삼 센터필드 근처에서 회사 생활을 하는 저에게,,, 만족스러운 스시집이 없었는데;; 드디어 찾아왔습니다 !!! 스시담다 라는 초밥집입니다 만족스럽지 않은 초밥집은 어디라고 말은 안하겠지만 ㅋㅋ 요기는 매우 만족 스럽게 먹고 리뷰를 위해 한번더 방문해서 사진 찍구 리뷰올려요 !! 감사합니다 요기에 가게를 열어 주셔서 ㅎㅎ 역삼 아르누보시티 건물 1층에 위치해 있어서 접근하기도 좋구 보통 요 근처 밥집은 골목길에 들어가야 있는데 여기는 큰길가에 한적하게 있어서 매우 좋았어요 최근에 생겨서 그런지 덜

[맛집]잠실 인도카레 강가(Ganga), 인도 현지인들의 인도요리 전문점!! 잠실역 데이트 코스 [내부링크]

[맛집]잠실 인도카레 강가(Ganga), 인도 현지인들의 인도요리 전문점!! 잠실역 데이트 코스 추천 인도요리 입문자들을 위한 인도요리 맛집 강가(Ganga) 주소 : 서울 송파구 올림픽로 300 롯데월드몰 6F 시간 : 10:30 ~ 22:00 Break time : 15:00 ~17:00 Last order : 21:00 잠실역에서 이색적인 음식이 땡기는날 인도 카레집 어떠신가요?? 인도 현지인들이 직접 요리하는 인도 현지 요리 전문점 입니다. 약간 한국 입맛을 겨냥 해서 요리 해서 한번도 인도 현지 음식을 안드셔 보셨다면 입문자 코스? ㅋㅋ 요렇게 도전해보시길 추천합니다. 음식 맛 자체도 너무 맛있어서 좋았어요!!! 오늘은 인도요리!! 롯데 월드몰 6층을 돌아 다니시면 요렇코롬~ Ganga 라고 인도풍의 음식점이 보여요 !! 에비뉴엘과 월드몰 통로 바로 옆에 있어요 ㅎㅎ 잘 찾아 가실 수 있으시죠 ? ㅋㅋ 메장 내부의 모습이에요 ㅎㅎ 저희가 많이 일찍 왔더니 식사시간이 안되서

[대학로연극] 너의 목소리가 들려,대학로 연극 1위, 데이트코스로 코미디극, 관객과의 소통으로 배아프게 웃었어요 [내부링크]

[대학로연극] 너의 목소리가들려, 데이트코스로 코미디극, 관객과의 소통으로 배아프게 웃었어요 시작부터 끝까지 몰입해서 재밌게 봤습니다! 너무 즐겁고 재밌는 시간이였습니다!! 지금 대학로 연극 1위! 90분 순삭~ 너의 목소리가 들려 연극, 코미디극 주소 : 서울특별시 종로구 동숭길 39, 봄날아트홀 2관 시간 : 월 ~ 목 15:00 금 14:00, 16:00 토, 일 13:00, 15:00 혜와역 2번출구 도보 5분 데이트 코스 고민하는 커플들~ 코로나가 잠잠해지니깐, 대학로에 연극 보러 가시는거 어때요?? 대학로 1위 연극이라는 타이틀 가지고 있는 너의 목소리가 들려 를 보고 았어요 ~ 진짜 90분 동안 계속 웃다가 왔어요 !! ㅋㅋ 초능력 추리 스릴러 코미디연극 <너의 목소리가 들려> 빵빵 터지는 웃음과 숨 막히는 범죄 스릴러의 조합!!! 재개발 지역 방화사건의 진범은 과연 누구일까 할인 많이해서 저는 평일 특가로 13,000원에 보고 왔어요 ㅋㅋ 선착순 20 명이라고 되어있지

[추천]을지로 라칸티나 La Cantina, 한국에 처음으로 생긴 이탈리아 레스토랑 [내부링크]

[추천]을지로 라칸티나 La Cantina, 한국에 처음으로 생긴 이탈리아 레스토랑 고급 스러운 느낌의 레스토랑으로 소개팅이나 특별한 날에 함께하기 좋은 식당이였어 삼성 이병철 회장이 사랑했던 식당이라고도 알려져 있으며 다양한 양식 메뉴를 가지고 있어요, 가격은 쪼금 있으니 참고하세요 ~~ 라칸티나 La Cantina 주소 : 서울 중구 을지로 19 삼성빌딩 시간 : 11:30 ~ 22:00 Breaktime : 15:00 ~ 17:00 Last order : 14:00, 21:00 일요일, 공휴일 점심 휴무 을지로 입구역 롯데호텔정문 맞은편 삼성화재빌딩(본관)에서 시청쪽으로 150m가다가 삼성화재(별관)지하 1층에 위치. 혀영만의 백반기행 94회 2TV 생생 정보통 717회 생방송 오늘저녁 435회 찾아라 맛있는 TV 710회 을지로입구역 1-1 번 출구 바로 앞쪽에 위치한 라칸티나 레스토랑은 우리나라의 처음으로 생긴 이탈리아 레스토랑이에요 ~ 오래된 레스토랑인 만큼 그 분위기가

맥도날드 서울둔촌DT 드라이브 스루 이용하기, drive-through [내부링크]

맥도날드 서울둔촌DT 드라이브 스루 이용하기, drive-through 드라이브 스루로 맥도날드 햄버거 먹기! 존맛 햄버거 빅맥, 맥크리스피 디럭스 버거 맥도날드 서울둔촌DT점 주소 : 서울 강동구 양재대로 1382 시간 : 24시간 영업, 연중무 둔촌역 1번 출구에서 도보 2분 햄버거가 땡기는 저녁인데 최근에 저희 동네에 맥도날드가 없어지면서... 맥딜리버리가 안되는 지역이 되어 버렷어요 ㅜㅜ 원래는 도보 5분거리에 맥도날드가 있었는데 ㅠㅠ 그래도 맥도날드를 먹어야 겠으니, 직접 차를 끌고 갔습니다 ㅋㅋ 서울둔촌DT점에는 이름에도 DT(drive-through )가 있듯이 드라이브 스루가 되는 지점입니다 ㅋㅋ 드라이브 스루는 차를 타고 들어가서 차에서 주문하고 차에서 햄버거를 받는거에요!! 모르시는분은 없겠죠? ㅋㅋ 스타벅스 DT 점도 있으니깐 ㅎㅎ 매장앞에 가면 요렇게 화살표로 Drive thru 라고 잘 표시가 되어있어요 길이 폭이 큰건 아니니 조심조심 ㅋㅋ 매장에 아무 차도

[추천] 차알 역삼 센터필드점, 동서양을 아우르는 캐쥬얼한 퓨전 중식당 AMERICAN CHINESES RESTAURANT 역삼 찐맛집 [내부링크]

[추천] 차알 역삼 센터필드점, 동서양을 아우르는 캐쥬얼한 퓨전 중식당 미국식 중식당, 미국인들이 먹는 중국음식으로 처음 느껴보는 음식을 맛볼 수 있어요!!! AMERICAN CHINESES RESTAURANT 역삼 선릉 찐맛집 이색맛집 차알 역삼 센터필드점 중식당 주소 : 서울 강남구 테헤란로 231 센터필드 EAST 지하 2층 시간 : 11:00 ~ 22:00 (break time 15:00~17:00) 라스트 오더 21:00 역삼역 8출구에서 도보 8분 선릉역 5번 출구에서 도보 8분 역삼 센터필드 지하의 식당가에는 항상 사람들이 바글바글한데 그중에서도 사람이 웨이팅이 가장 많은 식당은 차알인것 같아요 차알 먼저 들려서 자리가 없으면 다른 식당들을 간다 라고 이해하면 좋을것 같네요 ㅋㅋ 11시 20분에 도착했는데 벌써 사람들이 앉아 있는게 보이시죠?? ㅋㅋ 점심시간전에 빨리 가서 자리 잡기 위해 일찍 나왔는데 저보다 빠른 사람들... 그만큼 맛집이다 라는 증거에요 ㅋㅋ 아직 이

[추천] 육연해 by 육회먹은연어 합정 맛집, 육해 연어 해산물 조합과 참치 대광어 다양한 메뉴! [내부링크]

[추천] 육회먹은연어 합정 맛집, 육해 연어 해산물 조합과 참치 대광어 다양한 메뉴! 합정역 상수역 인근에 위치에 있으며 홍대 상권에 홍대솔내길 상수동 카페거리 에서도 데이트하다 찾기 좋은 맛집입니다 육연해 by 육회먹은연어 주소 : 서울 마포구 양화로6길 102 시간 : 16:00 ~ 02:00 상수역 1번출구에서 도보 4분 합정역 6번 출구에서 도보 8분 홍익대학교 정문에서 도보 9분 홍대 상권에 홍대솔내길 상수동 카페거리 그 사이에 있는 맛집이 다녀왔습니다 !! ㅋㅋㅋ 육회와 연어 맛집이고 다른 해산물 메뉴들도 있다고 했는데 특이 육회가 한우는 1등급 이상이라고 되어 있어서 기대를 많이 하고 갔어요 육연회 by 육회먹은 연어라는 상표는 육회먹은 연어라는 가게의 업그레이드 버전으로 육연회가 된것 같았어요 ㅋㅋ 육회 + 연어 + 해산물 조합으로된 메뉴들이 많았고 해산물은 참치 대방어 등이 있었어요 !! 매장입구에요 처음 가는 집은 무엇을 파는지 잘 모르고 갈때가 많은데 그리고 핫플

[추천] 시바카야 라카세, 시바견이 있는 이자카야, 애견 동반가능, 일본인이 운영 [내부링크]

[추천] 시바카야 라카세, 시바견이 있는 이자카야!!! 얌전한 시바견이 상주하는 일본인이 운영하는 이자카야!! 합정역 상수역 인근에 위치에 있으며 홍대 상권에 홍대솔내길 상수동 카페거리 에서도 데이트하다 찾기 좋은 맛집입니다 애견인이라면 애견 동반도 가능합니다. 시바카야 라카세 주소 : 서울 마포구 독막로9길 3 1층 좌측 시간 : 18:00 ~ 20:00 last oder : 01:15 상수역 1번출구에서 도보 4분 합정역 6번 출구에서 도보 8분 홍익대학교 정문에서 도보 9분 홍대 상권에 홍대솔내길 상수동 카페거리 그 사이에 있는 이색 이자카야 맛집에 다녀왔습니다 !! ㅋㅋㅋ 얌전한 시바견이 반겨주는 일본인 께서 운영하시는 이자카야에요 ㅎㅎ 어디에선가 저녁으로 1차를 하고 간단하게 2차를 즐기고 싶을때 매우 강추강추!! ㅋㅋ 강아지를 좋아하는 애견인들 !! Dog person들 여기!! 여기 시바견이 너무 귀여워요 ㅋㅋㅋㅋ 시바견에 진심인 사장님을 느낄수 있었습니다 ㅎㅎ 저의 사

올림픽 수영장 리뷰, 올림픽 공원 수영 강습 자유수영 가자!! [내부링크]

올림픽 수영장 리뷰, 올림픽 공원 수영 강습 자유수영 가자!! 올림픽 수영장 입장 방법, 수강신청 방법 주말에 자유수영 가자! 올림픽수영장 주소 : 서울 송파구 올림픽로 424 올림픽공원역 4번출구에서 도보 12분 한성백재역 2번 출구에서 도보 25분 몽촌도성역 1번 출구에서 도보 30분 운동으로 수영장을 찾는 사람들 여기 !!! 추천합니다 ㅎㅎㅎ 송파구와 강동구 주민이라면 한번쯤 찾아보셨을꺼 같아요 역에서 조금 걸어가야 하지만 수영하는 재미 때문에 가게 만들어요 수영장 건물 외관입니다 ㅎㅎㅎ 엄청 큰게 멋잇어여 !! 토요일 오전에 자유 수영하러 간건데 와 사람 진짜 많이 있었어요 저는 평일에는 잠실종합운동장 수영장을 가고 주말에는 종종 올림픽 수영장으로 자유수영을 하러와요 올림픽 수영장이 집에서는 더 가깝고, 더 크고 깨끗한데 저의 출퇴근 길에 잠실종합운동장이 있어서 아침에 다니기 좋아요 ㅎㅎ운동은 일단 가기 편해야 가게 되잖아여 수영장 건물이 커서 입구가 많은데 1-1, 1-2,

C언어, CRC 코드 생성 및 테스트 [내부링크]

C, C++ 로 CRC 적용 함수를 만들고 데이터의 에터를 체크하는 함수 코드를 공유합니다 ~ #include <stdio.h> #include <stdlib.h> #include <string.h> #include <time.h> void crc_make(char *data, int data_size); //crc 적용 함수 void err_check(char *data, int data_size); //crc 적용 데이터의 에러 체크 함수 int count=0; void main() { int data_size; char *data, *data_tmp; int error, errnum, errp[100]; int erri, errj; int m, n; int non_err; data=(char *)malloc(sizeof(char)*100); data_tmp=(char *)malloc(sizeof(char)*100); printf("**************************

C 언어 BMP 파일 읽어오기 및 저장 [내부링크]

C, C++ 언어로 BMP 파일 읽어오기 및 저장하기 Header 파일 #include <iostream> #include <stdio.h> #include <math.h> //#include "itsoc.h" /* BMP header(file header + BMP information) size */ #define HEADER_SIZE 54 /* Each rows in the BMP data is padded to 4 byte boundary. */ #define ROW_PADDING 4 /* Assumption: the BMP file is in 24 bpp, uncompressed format. */ #define BYTES_PER_PIXEL 3 /* Helper macros to read values in LSB first order. p must not have side * effects. */ #define lsb16(p) (((int16_t)(p)[0] & 0xff)

C언어 C++로 BMP 형식으로 읽기 및 저장 ver2 [내부링크]

C언어 C++로 BMP 형식으로 읽기 및 저장 BMP파일의 이미지를 읽어오고 BMP형식으로 저장하기 header 파일 #include <iostream> #include <stdio.h> #include <math.h> //#include "itsoc.h" /* BMP header(file header + BMP information) size */ #define HEADER_SIZE 54 /* Each rows in the BMP data is padded to 4 byte boundary. */ #define ROW_PADDING 4 /* Assumption: the BMP file is in 24 bpp, uncompressed format. */ #define BYTES_PER_PIXEL 3 /* Helper macros to read values in LSB first order. p must not have side * effects. */ #define lsb16(p)

C언어 PSNR과 MSE 코드 공유 [내부링크]

최대 신호 대 잡음비 (Peak Signal-to-noise ratio, PSNR ) 와 평균제곱오차(MSE)의 코드를 공유합니다 ~ //========= PSNR 값을 구하기 위한 함수 ==============// double PSNR(unsigned char ** sig, unsigned char ** recon, int height, int width) { int i,j; double PSNR; // Peak Signal to Noise Ratio PSNR = 10 * log10( (height*width) / COM_MSE ( sig, recon,height,width) ); printf(" PSNR = %f \n\n",PSNR); return PSNR; } //======== PSNR을 위한 MSE 값 =============// double COM_MSE(unsigned char ** sig, unsigned char ** recon,int height, int widt

[맛집]천호역 성내동 누룽지통닭구이 존맛 누룽지 치즈콘 양념닭 치즈파닭 [내부링크]

[맛집]천호역 성내동 누룽지통닭구이 존맛 누룽지 치즈콘 양념닭 치즈파닭 성내동 주꾸미 골목 근처에 엄청난 통닭 맛집이 있습니다 기름이 쪽 빠져서 맛있는 양념을 곁들어 먹으면 진짜.. 행복을 느낄수 있어여! 천호누룽지통닭구이 성내점 주소 : 서울 강동구 천호옛14길 35 1층 시간 : 17:30 ~ 23:00 (매주 월요일 휴무) 2TV생생정보 696회, 18.11.22.2018년 11월 22일 누룽지통닭 여러분 맨날 똑같은 치킨 먹다보면 가끔 다른 치킨이 생각 날때가 있죠? ㅋㅋ 특별한 치킨을 먹고 싶다면, 오늘은 치킨 아닌 통닭! 그것도 누룽지 통닭 어떠신가요? ㅋㅋ 천호역의 로데오 거리 반대편에 쭈꾸미 골목근처에 위치한 천호 누룽지 통닭구이를 소개해 드립니다 !!! 쭈꾸미 골목 쭈꾸미 골목이에요 이쁘게 꾸미기 위해 엄청 노력한게 보이죠? ㅋㅋ 쭈꾸미 골목은 위에 쭈꾸미가 달려 있고, 쭈꾸미 골목 옆에 맛집 거리는 위에 별이 달려 있습니다 성내동이 사람들을 모으기 위한 노력이 보이

[맛집]천호역 로니로티 lonnie lottie 파스타 스테이크 필라프 피자 존맛 맛집 데이트 코스 [내부링크]

[맛집]천호역 로니로티 lonnie lottie 파스타 스테이크 필라프 피자 존맛 맛집 데이트 코스 데이트 코스로 가성비도 좋고 맛도 좋음 로니로티 천호점 주소 : 서울 강동구 천호대로 1027 동원천호빌딩 2층 시간 : 11:30 ~22:30 (Break time : 15:30 ~ 16:20) 천호역 5번출구 이마트 방향으로 100m거리 KT 휴대폰, 올리브영 건물 2층입니다. 주차 : 건물주차 이용시 1시반 30분 무료주차 또는 천호지하공영주차장 이용시 1시간 지 파스타 피자 스테이크 종류가 땡기실때!!!! 로니로티를 추천합니다 메뉴도 다양하고, 맛있고 가격도 저렴해서 자주 가는 맛집이에요 주문할때 원플레이트(2인) 방식에서 다양한 메뉴를 즐길수 있게 기존 메뉴의 절반 양인 하프 메뉴(1인 ~1.5인)가 있어서 다양한 메뉴를 저렴한 가격에 즐길 수 있는 이탈리안 레스토랑 입니다. 천호역 5번출구에서 5분 정도만 걸어가면 로니로티 매장이 뚜뚠~ 영어로 LONNIE LOTTIE로 적

Design compiler의 멀티플렉서 매핑 및 최적화(Multiplexer Mapping and Optimization) [내부링크]

HDL 코드의최적화 Multiplexer를 나타내는 조합 논리를 단일 멀티플렉서(MUX) 또는 대상 논리 라이브러리의 멀티플렉서 셀 트리에 직접 매핑할 수 있습니다. Multiplexer(멀티플렉서)는 일반적으로 if 및 case 문으로 모델링됩니다. 이 로직을 구현하기 위해 HDL 컴파일러는 SELECT_OP 셀을 사용하며, 디자인 컴파일러는 이를 로직 라이브러리의 조합 로직 또는 멀티플렉서에 매핑 해야 합니다. Design Compiler가 다중화 논리를 논리 라이브러리의 멀티플렉서 또는 멀티플렉서 트리에 우선적으로 매핑하도록 하려면 MUX_OP 셀을 참조해야 합니다 . MUX_OP 셀은 디자인 컴파일러가 HDL의 case 문 블록에 대한 멀티플렉서 트리 구조를 구축하기를 원할 때 추론되어야 하는데 MUX는 라이브러리에서 효율적으로(속도 및 영역에서) 구현될 수 있습니다. 이러한 형태의 구조는 랜덤 로직으로 구현하는 것에 비해 회로 성능의 이점과 배선 면적의 절약을 제공할 수 있

가성비! 키보드 마우스 콤보 로지텍 MK345 Logitech [내부링크]

가성비 키보드 로지텍 MK345 Logitech 출장갈때 노트북과 편하게 가지고 다닐 수 있는 키보드 Comfort 긴베터리 수명의 풀사이즈 무선 키보드 마우스 로지텍 MK345 Logitech 키보드 마우스 콤보 인터넷 최저가 : 32,500원 호환 : window, mac os, chrome os 간단하게 사용하고, 튼튼한 내구성과 최대 10m 범위 안정적 무선 연결일 지원하는 로지텍 MK345의 키보드 마우스 콤보 제품입니다 제가 회의 할때나 출장갈때 주로 쓰는 제품이었는데 최근에 다시 한번 구매하게 되어서 언박싱 하는 김에 가성비가 너무 좋아서 리뷰합니다 ㅎㅎ (이거 내돈내산임 나는 협찬이 아직 안들어오는 초보 블로거임) 다른 저렴한 콤보 키보드 마우스를 써보았는데 이것 만큼 좋은게 없어요 ㅋㅋ 키보드 풀박스 입니다 ㅎㅎ 로지텍은 설명이 한글 영어 중국어 등 다양한 언어로 적혀 있는거 보니 역시 많이 팔리는 제품인가 봅니다 ㅋㅋ 포장은 아주 깔끔하게 잘 되어 있어썽요 이게 블

Design compiler의 순차 mapping(Sequential Mapping) [내부링크]

Sequential mapping은 register inferencing 과 technology mapping으로 두 단계로 구성됩니다. Synopsys는 edge-triggered registers 와 levelsensitive latches(에지 트리거 레지스터와 레벨 감지 래치 모두에 대해 레지스터)라는 용어를 사용합니다. SEQGEN이라는 기술이 있는데 Register inferencing을 하기 위한 프로세스로서 elaboration 중에 생성되며 일반적으로 컴파일 중에 플립플롭에 매핑됩니다 매핑은 SEQGEN이 지정된 대상 논리 라이브러리의 게이트에 매핑되는 프로세스입니다. Register Inference HDL compiler는 verilog 파일을 읽어 GTECH이라는 독립적인 기술로 변환합니다. GTECH에서 레지스터와 래치는 모두 SEQGEN 셀로 표시됩니다. Generic SEQGEN Cell register inferencing의 결과가 잘 됬는지 확인 하는

[추천] 서울 경기도 근교 궁평항에 회먹으러 갑시다!! 궁평항수산물 직판장 시장 [내부링크]

[추천] 서울 경기도 근교 궁평항에 회먹으러 갑시다!! 궁평항 수산물 직판장에서 각종 해산물 바로바로 사서 먹고 오는 이섹 데이트 및 드라이브 궁평항의 모습을 담다 왔습니다 @@ 궁평항 수산물 직판장 주소 : 경기 화성시 서신면 궁평항로 1049-24 궁평항수산물판매장 영업 : 08:00 - 22:00 (A동-화요일휴무, B동- 수요일 휴무) / 요일 정해놓고 번갈아가며 쉬고 있어 연중무휴로 정상영업합니다. 명절에 모든 가족들과 바람 쐬러 경기도와 서울에서 가까워 금방 갈 수 있는 궁평항으로 출 바알~~~~! 이건 저의 개인 적인 느낌이지만 궁평항은 서울의 노량진 수산시장이나 가락 시장보다 조금 더 저렴한 느낌인데 궁평항은 인당 4만원 생각하고 가면 푸짐하게 먹을 수있다?? 라는 느낌이 들거든요 ㅋㅋㅋ 궁평항 수산물 직판장 옆에는 해수욕장으로 이어지는 다리도 있어서 맛있게 식사 하고 산책 하기 좋아요 주변에 좋은 이쁜 야자수 마을 카페도 있어서 데이트 코스로도 매우 추천해요~ 궁평항

[비추] 가지마세요.! 화성 궁평항 근처에 제주도를 옮겨다 놓은 카페 야자수마을 카페 [내부링크]

가지마세요.. 죄송합니다 그냥 저의 일상을 담은 글입니다 [추천] 화성 궁평항 근처에 제주도를 옮겨다 놓은 카페 야자수마을 카페 궁평항에서 맛있게 먹고 나서 카페 가자! 엄청난 야자수와 다양한 식물로 꾸며놓은 실내 이색 카페 야자수마을카페 주소 : 경기 화성시 서신면 밸미길 242-39 C동 야자수마을카페 시간 : 09:30 ~20:00 궁평항,백미리 어촌체험마을 자가용 10분 특징 : 반려동물 불가 가지마세요!!!!! 서울 근교 야자수 나무가 가득한 식물원 카페입니다. 복잡한 도시에서 잠시 벗어나 힐링하고 가세요! 인생샷 찍으실분? 식물원에서 쉬고 커피 한잔 하고 싶으신분? 이색 데이트를 즐기고 싶으 신분? 이쁜 카페를 찾는 분들이라면 여기 어떠세요? ㅋㅋ 화성에 야자수마을 카페라고 있어요 생긴지 얼마 안됫지만 벌써 사람들로 바글 바글한 곳이랍니다 아직 카페 까지 가는 길이 이쁘게 포장은 안되어 있어서 대중교통으로 어렵고, 자가용으로도 울퉁불퉁한 길을 한참 가야 하지만 (지금 궁평

잠실 종합운동장 실내수영장 리뷰, 수영장 강습 운동 [내부링크]

잠실 종합운동장 실내수영장, 운동합시다! 잠실 종합운동장에 실내 수영장에 대해 리뷰 해보도록 하겠습니다. 자유 수영과 수영 강습 2호선 9호선 지하철이 지나가는 잠실종합운동장에 모든 사람이 이용할 수있는 실내 수영장이 있습니다. 가격도 매우 싸고, 강습도 매우 잘해주셔서 저는 벌써 6개월 동안 다니고 있어여! 잠실 종합운동장 제 1수영장 장소 : 서울 송파구 올림픽로 25 서울종합운동장 2호선 9호선 종합운동장 역에서 540m 떨어져 있음 2호선 9호선 종합운동장 역에서 내려서 540m 거리라고 네이버 지도에는 되어 있는데 10분 정도 걸어 가면 제1 수영장이 뚜둔 하고 나타나요 제 1 수영장에는 실내 수영장만 있는게 아니라 휘트니스센터, 탁구교실, 실내 골프연습장도 있어서 새벽에도 앞에 주차장에 차들이 매우 많아요 ㅎㅎ 수영장에 미리 등록이 되어 있으신 분은 보이시는 안내 데스크 에서 직원분께 카드를 드리면, 사물함 키를 줍니다. 보통 두 분이 있으신데, 안내 데스크 기준으로 왼쪽

[리뷰] 뮤즈클리닉 강남점, 피부관리 받고 이뻐집시다 [내부링크]

뮤즈클리닉 강남점, 피부관리 받고 이뻐집시다 싸고 잘하는 피부관리 샵! 시간은 금, 내 피부도 금, 내 돈은 진짜 금 본관 신관이 있어서, 바쁜 직장인들이 가서 빨리 시술 받고 이뻐질수 있고, 이벤트가 많아서 또 저렴한 피부 클리닉을 소개합니다. !!! 피부가 많이 안좋아져서... 오랜만에 찾았습니다 뮤즈클리닉 강남점 여기는 이벤트를 자주해서 다른곳 보다 항상 싸요! 근데 결과에 항상 만족 하게 되서 또 오게 됩니다 ㅋㅋ 예약을 미리 하시면, 본관 신관 나눠져 있어서 빠른 시술을 받을 수 있는 곳이에요!!! 진짜 너무 좋음 ㅋㅋ 카카오 플러스 친구로 뮤즈클리닉을 추가하시면 시술과 이벤트를 한눈에 확인 할 수 있어요 ㅋㅋ (이거 광고 아니고 제 블로그 리뷰입니당 내돈 내산) 시술 종류가 많아서 저처럼 결정 장애 있는 분들은 처음 하시는 분들은 상담하기 하셔도 되고, 일단 가시면 거기 담당 선생님이 판단 해주십니다 이거 하시면 좋다고 하는데 진짜 짱좋아여 ㅋㅋ 저는 오늘 카프리레이져를

[맛집] 역삼 단체회식은 진대감!! 차돌삼합 맛집 다 구워줍니다! ㅋㅋ [내부링크]

[맛집] 역삼 단체회식은 진대감!! 차돌삼합 맛집 다 구워줍니다! ㅋㅋ 맛있는 차돌 삼합 주문후 먹기만 하면 됩니다. 단체 회식 가능한 식당을 찾는다면 역삼역 진대감 추천해요 진대감 역삼점 주소 : 서울 강남구 봉은사로30길 75 1층 시간 : 11:30 ~ 22:00 (break time : 14:30~16:30) 단체 회식 가능, 다 구워줌, 먹기만 하면됨 오늘은 저희 회사 회식 하는 날입니다. 20~30명 정도 단체로 들어갈 수있는 식당이 많지 않아서 더더욱 리뷰 하고 싶어진 이곳입니다. 진대감 체인점은 여러 지점이 있지만, 여기가 좋았던게 처음 부터 끝까지 계속 구워 주셨어요! 다른 체인점은 처음에 구워 주시다가, 나중에는 손님들이 직접 구워 먹는 지점들이 많았는데 회식을 하면서 오랜만에 직장 동료들과 대화가 소중한 지금 대화와 먹는데 집중 할 수 있도록!! 저희가 먹는 속도에 맞춰서 맛있게 구워주셔서 너무 좋았어요!! 진대감은 사실 따로 리뷰 안해도 너무 유명한 식당인데 이

[맛집] 수원 오리고기 맛집은 운봉농장 ! 아주대 원천동 매탄동 사람들은 필수 방문! [내부링크]

[맛집] 수원 오리고기 맛집은 운봉농장 ! 아주대 원천동 매탄동 사람들은 필수 방문! 대규모 회식도 가능, 주차장 완비 오리 고기는 건강에 좋다! 운봉농장 주소 : 경기 수원시 영통구 중부대로 236 시간 : 11:00 ~ 22:00 (break time : 15:30~16:30) 매주 월요일 휴무 특유의 불판 위에 생오리를 굽고 옆 큰 불판 위에선 야채 모둠을 볶아서 먹을 수 있어요 ㅋㅋㅋ 셀프바에서 김치, 쌈채소, 샐러드 등을 마음껏 가져다 먹을 수 있는 맛집!!!! 삼겹살을 먹을때 꼭 김치를 굽고, 다양한 야채를 즐기시는 분들이라면 오늘은 삼겹살 말고 오리고기 어떠세요? ㅋㅋ 오늘은 매탄동에 위치한 오리고기 맛집 운봉농장에 대해 리뷰하는 시간입니당 ~ 위치는 아주대입 삼거리 바로 근처에요! 이 식당은 진짜 오래 됫어요, 제가 어릴 때 부터 다녔던 기억이 나요 ㅋㅋ 2층으로 되어있는데 맨날 사람도 많아여 ㅋㅋ 명절 전에 저희 가족들 모두를 모시고 갔어요 ㅎㅎ 공간이 커서 회식 하

키보드 끝판왕 Leopold 레오폴드 FC900RBT [내부링크]

저의 블로그 제목이 키보드로 농사 짓는 사람. 닉네임은 키보드 파머입니다. 무슨 키보드를 쓰길래 키보드로 돈을 버는지 궁굼하실것 같아 오늘은 제가 쓰고 있는 키보드를 리뷰 해보는 시간입니다 키보드 끝판왕 Leopold 레오폴드 FC900RBT 블루투스 기계식 키보드 Blutooth mechanical keyboard Leopold 레오폴드 FC900BRT 최저가 149,500원 기계식 적축 블루투스 지원 모델, USB-C type 독일 체리 MX 스위치 제가 지금 사용하고 있는 기계식 키보드 입니다. 지금 모델로 바꾸기 전까지 한성 무접점 키보드, 앱코 적축, Cox 의 제품등 다양한 것을 사용해 보았지만 기계식 키보드의 끝판왕은 Leopold 제품이란 말을 항상 들어 왔기에... 매일 키보드를 치고, 스마트폰 다음으로 가장 많이 만지는 제품으로서 좋은 제품을 써야 겠다 라는 생각이 들어서 구매 하게 되었습니다. 지금 매우 만족 하면서 쓰고 있는데, 만족하는 이유는 !!!! 1. 키

[강추]동탄 호수공원 맛집 가리찜 갈비찜, 갈비탕, 수육, 곰탕 [내부링크]

[강추]동탄 호수공원 맛집 가리찜 갈비찜, 갈비탕, 수육, 곰탕 동탄 호수공원 들려서 산책 후 들리 갈비찜 맛집 회사원들이 점심에 찾는 갈비탕 가리찜 장소 : 경기 화성시 동탄순환대로3길 46 1층 시간 : 11:00 ~ 22:00 (break time : 15:00 ~ 17:00) 휴뮤 : 매주 월요일 휴무 통탄 호수공원 산책하러 왔다가 꼭 들리는 저의 맛집입니다. 음식 맛이 너무 맛있는데 또 양이 많아서 항상 만족 하고 가는 맛집에요 !!!! 근처 사시는 분들이라면 또는 호수공원에 데이트 하러 가시는 분들 강추합니다. 오늘도 내돈 내산 맛집 리뷰 시작합니다.!! 가리찜 가게가 위치한 건물이에요, 오늘은 날씨가 눈이 흩날리는 꾸리꾸리한 날씨지만, 벽돌집 건물이 이쁘네요 갈비찜 갈비탕 전문점이라고 크게 잘 쓰여있으니, 따뜻하게 갈비탕 한그릇, 갈비찜 한점 드시고 싶을때 !!! 방문 고고고!! 친구들이랑 단체로 갈때 예약 하고 가면, 더 잘해 주셨어요 ㅋㅋ 가리찜의 영업 시간입니다.

[추천] 역삼역 맛집 돈이찌 Donichi 돈코츠 라멘 규동 강추! [내부링크]

[추천] 역삼역 맛집 돈이찌 돈코츠 라멘 규동 강추! 진짜 너무 맛있는데 안 알려진 맛집입니다 감탄하고 먹고왔습니다 혼밥 하기도 좋은곳! 돈이찌 장소 : 서울 강남구 테헤란로 231 센터필드, 지하 1층 역삼역과 선릉역 사이에서 근무하시는 분들이라면 꼭 가보셔야 하는 맛집입니다. 역삼역과 선릉역 사이에 센터필드라는 큰 건물 지하에는 이미 검증된 맛집들이 자리하고 있습니다!! 오늘은 센터필드의 맛집 돈이찌 를 가보았습니다 사실 여기는 제가 블로그를 하기 전부터 많이 갔던 맛집 중에 맛집인데요 처음 돈이찌를 접하고 너무 맛있어서 몇번이고 간 맛집 of 맛집 입니다. 우선 센터필드는 EAST와 WEST 건물로 나눠져 있는데 오늘의 맛집은 EAST의 지하로 내려가시면 편합니다. 센터필드가 있는 사거리의 지하차도가 식당으로 바로 연결되어 있어서, 길을 건너서 오셔야 하는 분은 지하차도를 이용하세요!! 센터필드 EAST 건물로 들어오신분은 이렇게 화려한 에스컬레이터를 타고 지하로 내려오시면 됩

Design compiler의 High-Level Optimization and Datapath Optimization [내부링크]

높은 수준의 최적화 및 데이터 경로 최적화 High-Level Optimization and Datapath Optimization 높은 수준의 최적화 중에 Design Compiler는 산술 단순화 및 리소스 공유를 수행합니다. 리소스는 HDL 디자인의 일부로 읽히는 산술 또는 비교 연산자입니다. 높은 수준의 최적화 단계에서는 타이밍 및 영역 고려 사항에 따라 리소스가 할당되고 공유됩니다. 리소스 공유를 통해 도구는 여러 작업을 위한 하나의 하드웨어 구성 요소를 구축할 수 있으므로 일반적으로 설계를 구현하는 데 필요한 하드웨어가 줄어듭니다. 설계 컴파일러 산술 최적화 Design Compiler Arithmetic Optimization 그림은 Design Compiler가 최적화 흐름 내에서 산술 구성 요소를 최적화하는 방법을 보여줍니다 1. HDL 컴파일러가 설계를 정교화할 때 HDL 연산자(+ 및 *와 같은 내장 연산자 또는 HDL 함수 및 프로시저)를 일반 넷리스트에 나타나

서울 근교 데이트, 하남 호두나무 카페와 하남동사지 삼층석탑 오층석탑 산책 데이트 [내부링크]

서울 근교 데이트, 하남 춘궁동에 호두나무 카페와 하남동사지 삼층석탑 오층석탑 산책 호두과자와 커피 이색 카페를 찾으신다면? 맨날 카페에가서 빵 먹는게 지겨우시다면? 호두나무 카페를 추천합니다!!! 서울에서 매우 가까운 하남 춘군동에!! 1층에서 호두과자를 바로 바로 구워서 커피랑 먹을 수 있는 카페가 있습니다. 갓 구운 호두과자에 커피 한잔 어떠세요? 낚시터 옆에 위치하고 바로 옆에 국가 보물로 지정된 탑이 있는 절이 있어 산책하기도 좋습니다. 호두나무카페 장소 : 경기 하남시 서하남로390번길 96 시간 : 10:00 ~ 22:00 호두 나무 카페의 입구 에요 주차장도 크고 넉넉해서 좋네요, 차가 많을 때면 관리 해주시는 아저씨가 발렛도 해주시더라구요 운전 초보시라면 더더욱 마음 편하게 도전할 수 있는데 데이트 코스 같네요 ㅎㅎ 입구에서 부터 느껴지는 커피에 진심인 카페에요, 다양한 커피 원두와 오늘의 추천커피 로스팅 일자가 표시되어 있어요 커피가루도 무료도 나눠주시니 방향재로

Design compiler의 설계 최적화(Optimizing the Design) [내부링크]

Optimization는 디자인의 기능, 속도 및 영역 요구 사항을 기반으로 특정 target logic library cells의 최적 조합에 디자인을 매핑하는 디자인 컴파일러 synthesis 단계입니다. compile_ultra 명령 또는 compile 명령을 사용하여 디자인을 합성하고 최적화하는 컴파일 프로세스를 시작합니다. 최적화 프로세스 개요 (Overview of the Optimization Process) 디자인 컴파일러는 다음과 같은 순서로 다음 수준의 최적화를 수행합니다. 1. Architectural Optimization 2. Logic-Level Optimization 3. Gate-Level Optimization 1. Architectural Optimization Architectural optimization 은 HDL description에 의해 작동합니다. • Sharing common subexpressions • Sharing resources

Design compiler의 Optimizing Across Hierarchical Boundaries [내부링크]

Boundary optimization 와 automatic ungrouping은 design 이 계층 구조 일때 design compiler가 디자인 전체 구조에 대하 최적하 화는 전략입니다. Boundary optimization은 계층은 유지하고, 설계 계층 전체에서 최적화를 수행하고 Design Compiler에 logic을 단순화 하는 것입니다. Ungrouping 은 계층을 제거하고 Design Compiler 가 모든 것을 공유 할 수 있도록 자유를 주는 것입니다. Boundary Optimization • 상수 최적화(Propagation of constants across the hierarchy) 상수를 최적화 하여, 왼쪽의 회로를 Boundary Optimization을 사용하여 오른쪽과 같이 최적화 할 수 있습니다. • Propagation of equal and opposite information across the hierarchy: equal 과 oppo

성내동 맛집 동대문 엽기 떡볶이 할인 정보 공유 싸게 먹기 [내부링크]

성내동 맛집 동대문 엽기 떡볶이 할인 정보 공유 싸게 먹기 강동구청역 풍납동 맛집 포장 할인 공유 내돈내산 3000원 할인! 동대문엽기떡볶이 강동구청점 주소 : 서울 강동구 천호옛길 16 1층 102호(성내동,성민빌딩) 시간 : 11:00 ~ 23:00 동대문 엽기 떡볶이가 땡기는날 이왕 먹을꺼 싸게 먹는게 좋잖아요? 할인 정보 및 강동구청점 매장을 소개해드립니다 오늘도 싸고 맛있게 잘 먹었당~ ㅎㅎ 강동구청 1번 출구 근처에 짜잔~ 동대문 엽기 떡볶이가 있습니다아 오늘 공유해 드리고 싶은 정보는 동대문 엽기 떡볶이 어플을 이용해서 방문 포장시 3000원 할인!! 정보입니다 그냥 가서 방문 포장 하시면 안되고요 동대문 엽기 떡볶이 어플을 다운 받으셔서 포장 주문을 하셔야만 할인이 됩니다. 사실 그냥 가서 사먹을려고 했는데, 매장입구에 저렇게 할인 정보가 크게 붙어 있어서 매장에서 어플을 다운 받고.. 주문했어요 이왕 먹는거 할인... 할인... (아껴야 산다!!!) 어플을 다운 받고

[추천] 서울 강동구 둔촌시장 분식 맛집, 일번지 호떡 핫도그, 서울 추억 이색 데이트 [내부링크]

[추천] 서울 강동구 둔촌시장 분식 맛집, 서울 추억 이색 데이트 일번지 호떡 핫도그 [일번지 호떡 핫도그] 추억의 호떡, 떡꼬치, 피카츄, 핫도그, 오뎅 등 맛있는 간식들을 아직도 저렴한 가격에 파는 분식집이 있어요~~~ 위치도 강동구 성내동에 위치한 둔촌역 전통시장 입니다. 오랜만에 추억의 맛을 느끼고 싶거나, 추억의 이색 데이트를 즐기기도 좋을것 같습니다 무엇보다 가격이 아직도... 추억속에 있는 것 같아요 너무 저렴하네요 가성비 맛집이기도 합니다. 위치는 둔촌역 전통시장 안에 위치해 있어요~ 일번지호떡핫도그 서울특별시 강동구 양재대로 1349 둔촌역 3번출구에서 걸어서 금방입니다 요츰 핫한 둔촌주공 바로 앞에 있어요 ㅎㅎ 올림픽 공원에서 산책하다가 먹으로 가기도 가깝습니다. ~ 가게의 모습이에요 네이버 지도에서 검색이 안되서 찾기 힘들수 있는데 파크프라자 아파트 바로 옆에 있으니깐 성내 파크프라자로 검색 하고 오시는것도 추천 드려요 호떡집 모습이에요 사람이 많이 서있죠? 그래

선릉 역삼 일본식 돈까스 맛집 - 카츠하나 직장인의 점심 [내부링크]

선릉 역삼 돈까스 맛집 - 카츠하나 직장인의 점심 일본식 돈까스 작년에 생겨서 계속 사람이 많아서 가보지 못하다가 오늘 드디어 다녀왔어요 식당의 위치는 선릉역에서 조금 떨어져 있는데, 식당이 많은 골목에 위치하고 있어요 주변에 식당이 많은데 불구하고 여기에 매일 줄서있네요 ,, 먹으러면 빨리 나오셔야 됩니다!!! 카츠하나 서울특별시 강남구 언주로98길 12 지상 1층 101호 테이크 아웃도 가능하고, 월요일~금요일 am 11:00 ~ pm 21:00 브레이크 타임 15:00 ~ 17:00 토요일 am 11:00 ~ pm 20:00 매주 일욜일은 휴무 입니다. 매장 내부는 좌석이 많지는 않아요, 혼자 오셔서 창가에 드시는 분들 많앗고, 2인용 테이블 위주로 되어 있어서, 오시는 손님에 따라서 붙여서 4인석 2인석으로 테이블 형태가 계속 변했답니다. 메뉴판 사진을 또 많이 궁굼해 하시길래 준비했습니 오늘 저의 주문 내용은 로스 정식(13,000원) 과 히레 정식 (13,500원) 이에요

[왕십리] 이색 서점 데이트 영풍 문고 할리스에서 독서 [내부링크]

[왕십리] 이색 서점 데이트 영풍 문고 카페에서 책을 추울땐 서점에서 책 읽는 데이트를 즐겨요 영풍문고 장소 : 서울 성동구 왕십리광장로 17 비트플렉스 3층 시간 : 10:00 ~ 20:00 요즘 같이 추운 겨울에 새로운 데이트 할 곳을 찾으신다면? 서점 데이트 어떠세요? 책 뿐만 아니라 다양한 물건들도 팔아요~ 영풍문고 왕십리역점 서울특별시 성동구 왕십리광장로 17 비트플렉스 3층 왕십리역에서 내려서 12, 13번 출구 쪽으로 나가면, 야외로 나가지 않고 바로 영풍문고를 갈 수 있습니다 지하철을 나오시면 아래 그림과 같이 3F 에 영풍문고가 있다고 크게 써있어요! 길 잃지 않고 곧장 가실수 있을꺼에요 ㅎㅎ 에스컬러이터를 타고 올라가시면 됩니다. 에스컬레이터를 타고 올라가면 뙇 바로영풍문고가 저희를 반겨줍니다. 바닥에도 영풍문고라고 뙇 써있습니다 ㅎㅎ 입구에는 아이들을 위한 즐길거리도 있네요, 이미 열심히 애기가 게임을 하고 있네요 ㅎㅎ 서점에 들어 가시면 이렇게 New Book과

[왕십리] 피자 맛집 엘루이, ELLUI 에서 피맥 합시다. 맥주 맛집 [내부링크]

[왕십리] 피자 맛집 엘루이, ELLUI 에서 피맥 합시다. 맛있는 피자에 맥주 한잔 ELLUI 엘루이 장소 : 서울 성동구 마조로5길 12 시간 : 16:30 ~ 02:00 왕십리에서 데이트할 곳을 찾으신다면? 분위기 있고, 맛있는 식당을 찾으신다면? 여기를 추천합니다 엘루이!!!!! 가게입구에요 화려하고 이쁘지 않나요? 여기 골목에서 가장 이쁜 가게 였어요!! ㅎㅎ 친절하게 입구에 영업 시간과 메뉴가 있어요 웨이팅이 있다면 기다리면서 메뉴를 고르세요, 피자는 생각보다 시간이 걸리기 때문에 빠른 주문이 생명입니다 ㅎㅎ 엘루이 피자의 내부 모습입니다 꽃과 조명으로 이루워져 화려합니다. 2층도 있는데 오늘은 단체 손님들이 2층을 다 빌리셔서 ... 사진을 잘 못찍었어요 2층으로 올라가는 계단인데 너무 이쁘죠? 샹들리에도 있어요 2층을 통체로 빌린거 보면, 회식할때 미리 예약하면 좋은 회식 장소가 되겠죠? 회사의 총무 여러분들 움직이세요!!! 여기는 맥주에도 진심이더라고요 맥주종류도 엄

design compiler의 Top-Down Compile Script [내부링크]

Top-Down Compile Script 입니다. # read in the entire design read_verilog E.v read_verilog D.v read_verilog C.v read_verilog B.v read_verilog A.v read_verilog TOP.v current_design TOP link # apply constraints and attributes source defaults.con # compile the design compile_ultra #design #compiler #top #down #script

[추천] 경기도 광주 찜질방 새광주참숯가마 서울근교 당일치기 데이트 [내부링크]

경기도 광주 찜질방 새광주참숯가마 서울근교 당일치기 데이트 추운 겨울 따뜻한 찜질방에 가서 몸을 지지고 싶을 때 색다른 찜질방이 없을까 고민하면 검색을 시작 했다. 뜨든 여기다 경기도 광주에 위치한 새광주참숯가마!! 가시기 전에 수 금 토 일 새로 숯이 나오는 날이니깐 확인 하고 가세요~ 가격표 가격은 대인 16,000원으로 싼건 아닌데 이색 데이트를 위해서 ㅎㅎ 찜질방에 들어가면 이런 비쥬얼이에요~~ 찜질방 내부 모습 왼쪽의 입구 하나하나가 모두 찜질방입니다. 찜질방의 오른 쪽에는 쉴수 있는 평상이 있는데 편하신데 자리 잡으시면 됩니다. 입장은 준비되어 있는 고무 슬리퍼 또는 나막신 같은 나무 신발을 신고 입장하시면 됩니다. 신발은 불가마 왔다갔다 하면서 자꾸 섞이고, 크기도 다 똑같으니 편하게 선택하세요 ... 찜질방 내무에는 고구마도 구워먹을 수 있는 숯불 화로도 준비 되어 있어요! 일단 이 비쥬얼 부터 끌리지 않나요? 여러분 집에 잠들어 있던 고구마와 가래떡, 감자 등등등 구

design compiler의 Bottom-up Compile Script [내부링크]

set all_blocks {E D C B A} # compile each subblock independently foreach block $all_blocks { # read in block set block_source "$block.v" read_file -format verilog $block_source current_design $block link # apply global attributes and constraints source defaults.con # apply block attributes and constraints set block_script "$block.con" source $block_script # compile the block compile_ultra } # read in entire compiled design read_file -format verilog TOP.v current_design TOP link write -hierarchy

Compiling the Design [내부링크]

design을 compiler 하면, HDL source code를 읽고 해당 설명에서 생성된 design을 optimize합니다. attributes 와 constraints 에 따라 functional, speed, and area 조건을 맞추기 위해 tool은 Heuristics하게 library cells 의 combination을 implement 합니다. Heuristics 은복잡한 과제를 간단한 판단 작업으로 단순화시켜 의사 결정하는 경향 이라고 이해 하시면 됩니다. compiler command의 feature • Command-line interface and graphical user interface • Hierarchical compile (top down or bottom up) • Full and incremental compile techniques • Sequential optimization for complex flip-flops and latches

Design compiler의 Constraint 의 우선순위 관리와 비활성화 하기 (Managing Constraint Priorities and Disabling) [내부링크]

Managing Constraint Priorities cost vector를 사용하여 constraint 간의 우선 순위의 문제를 해결 할 수 있습니다. set_cost_priority 명령을 사용하여 constraints 의 우선순위를 바꿀 수 있습니다. Constraints Default Cost Vector Priority (descending order) 내림차순 순서 Notes connection classes Design rule cost multiple_port_net_cost Design rule cost min_capacitance Design rule constraint max_transition Design rule constraint max_fanout Design rule constraint max_capacitance Design rule constraint cell_degradation Design rule constraint max_delay Optim

design compiler의 Reporting Constraints와 SDC Constraints에 따른 runtime 이슈 [내부링크]

design rules과 optimization goals을 확인하기 위해서 design의 constraint values 를 확인 하려면 report_constraint 명령을 사용하면 됩니다. 자세한 정보를 포함하려면 report_constraint 명령과 함께 -verbose 옵션을 사용합니다. 모든 constraint violators를 보고싶다면, -all_violators 옵션과 함께 report_constraint 명령을 사용합니다. Reporting Runtime Issues Related to SDC Constraints runtime 은 constraint complexity or a high number of timing paths, clocks, or fanout nets에 따라 달라지게 됩니다. runtime 문제가 될때 문제가 되는 SDC 조건을 확일 할수 있는 명령어 • mapped netlis 사용하고, check_timing -sdc_runtime 명령

formal의 rtl to rtl 간단한 script [내부링크]

formality 를 체크하기 위해 synopsys 의 formal 툴을 사용합니다. 공유해 드리는 script는 RTL to RTL 비교로 간단한 script 를 공유해 드립니다. RTL과 RTL을 왜 비교하지 ? 이런 질문을 할 수 있을텐데 저의 설계에서는 run time을 줄이고자, 또는 특정 cell을 RTL 내부에 사용하였을 때(clock latch, buffer, inv 등) RTL 내부의 ifdef 명령어로 cell을 쓴 부분과 verilog 연산자로 구분해 놓은 것들이 있기 때문입니다. 좋지 않은 설계라고 알고 있지만, 일단 저는 아래과 같이 설계 하는 경우가 종종 있기 때문에 RTL to RTL의 formal을 비교 합니다. `ifdef SYNTHESIS buf2(a,b) `else assign b = a; `endif RTL to netlist 도 공유해 드릴테니 기다리세요~ run file과 tcl 파일로 script 파일로 구성을 하였으며 run 파일의 내용은

Design compiler의 Hierarchical Designs 의 Propagating Constraints [내부링크]

Hierarchical designs은 subdesigns 들로 이루워진 design을 말합니다. propagate constraint을 계층의 위 아래로 줄 수 있습니다. • Characterizing Subdesigns - 하위 디자인 특성화 Characterizing 방법은 특정 셀 인스턴스의 환경에 대한 정보를 캡처하고 해당 정보를 셀이 연결된 design의 attributes 으로 할당합니다. • Modeling - 모델링 모델링 방법은 characterized 된 design을 라이브러리 셀로 생성합니다. • Propagating Constraints up the Hierarchy - 계층 구조 위로 제약 조건 전파 이 방법은 하위 수준 하위 설계에서 현재 설계로 clocks, timing exceptions, disabled timing arcs를 전파합니다. Characterizing Subdesigns • Manually Use the set_drive, set_dr

design compiler의 간단한 script [내부링크]

design compiler의 간단한 script를 공유해 드리겠습니다. 설계한 design이 합성(synthesis)를 확인하기 좋도록, 빨리 돌아가는 script 입니다. 합성 후 내 설계에 대한 lint 결과를 빨리 보며, 설계를 수정하고 size 및 timing 경향성만 체크하는데 사용하세요. 이 script를 보고, 각종 option을 추가해 가는 방식으로 공부 하시는 것도 좋을 것 같습니다. 폴더 구조는 위와 같이 설정 하였습니다. 폴더 상단에서 run을 칠 수 있도록 하였고, 합성에 필요한 script를 위한 폴더 합성 결과를 알려주는 report와 netlist 결과물 폴더 이렇게 나누었습니다. 본인의 취향대로 꾸미시면 됩니다. run 파일의 내용 dc_shell -f script/setup.tcl dc_shell 이라는 design compiler 툴의 명령어와 script를 물리수 있도록 -f 옵션과 함께 script의 tcl 파일을 선언해 주었습니다. setup.

design compiler의 script 좀 더 잘 써보기 [내부링크]

이전 글의 간단한 버전의 script에서 조금 더 script를 심화 과정으로 만들어 보겠습니다 . 아래와 같이 동일한 폴더 구조에서 run 파일의 내용 dc_shell -f script/setup.tcl dc_shell 이라는 design compiler 툴의 명령어와 script를 물리수 있도록 -f 옵션과 함께 script의 tcl 파일을 선언해 주었습니다 setup.tcl 파일 내용 date source ./synopsys_dc.setup #======================================== # options # sequential structure preserve set hdlin_preserve_sequential true; # latch not allow during compile set hdlin_check_no_latch true; # multiple clock set timing_enable_multiple_clocks_per_reg true;

Design compiler의 Optimization Constraints [내부링크]

Optimization constraints 는 speed, area, and power design goals, restrictions 을 나타냅니다. 기본적으로 optimization constraint은 design rule 다음입니다. optimization constraints 의 조건 • Input and output delays (timing constraints) • Minimum and maximum delay (timing constraints) • Maximum area • Power optimization Defining Timing Constraints 타이밍 제약 조건을 정의할 때 설계에 동기식 경로와 비동기식 경로가 있음을 고려해야 합니다.(synchronous paths and asynchronous paths. ) 설계에서 clock을 지정하여 ynchronous paths를 제한합니다. create_clock 명령으로 clock을 지정한 후, set_

Design compiler의 Design Rule Constraints [내부링크]

Design rule constraints 은 설계가 의도한 대로 작동하기 위해 충족해야 하는 function별 restrictions 사항을 반영합니다. Design rules은 디자인의 네트를 제한하지만 logic library의 셀의 핀과 연결됩니다. 대부분 logic libraries 는 default design rules을 지정합니다. 일반적인 design rule은 transition times, fanout loads, capacitance을 제한합니다. 추가 design rule 지정할 수도 있습니다. optimization constraints (delay, power, and area goals)을 위반하더라도 design rule constraints는 위반 할 수 없습니다. 설정할 수 있는 design rule은 아래와 같습니다. • Maximum Transition Time • Maximum Fanout • Maximum Capacitance • Minimu

linux 에서 GCC의 makefile 사용하기 [내부링크]

linux에서 C 언어 또는 C++ 언어를 사용하는 설계자들을 위해 gcc의 makefile을 공유해 드리고자 합니다. makefile 의 내용은 아래와 같습니다. #= gcc option ==================================== HDRDIR = HDR # header directory SRCDIR = SRC # source directory TARGETNAME = exe_file # target name CC = g++ # c: gcc / cpp: g++ CFLAGS = -g -w -Wall CFLAGH = -I$(HDRDIR) #================================================= all:$(TARGETNAME) SRCS = $(wildcard *.cpp) OBJS = $(SRCS:.cpp=.o) #OBJS = $(patsubst %.cpp, %.o, $(wildcard *.cpp)) $(TARGETNAME):$(OBJ

Design Compiler의 Operating Conditions [내부링크]

perating temperature, supply voltage, manufacturing process은 circuit performance에 큰 영향을 주는 요소들 입니다. 동작 온도 변화(Operating temperature variation) Temperature variation은 모든 design에서 피할 수 없는 요소 입니다. 온도 변화으로 인한 성능에 대한 영향은 대부분 linear(선형) 스케일링 효과로 처리되지만 일부 프로세스에는 nonlinear(비선형) 계산이 필요합니다 공급 전원 변화( Supply voltage variation) 일상 동작에서(dayto-day operation) ideal 하지 않은 변화가 일어날 수 있습니다 종종 복잡한 연산으로 영향을 계산하기도 하지만 선형 스케일링하게 계산하기도 합니다. 공정 변화(Process variation) 반도체 제조 공정의 편차를 의미합니다. 동일한 design을 samsung fab인지 tsmc fab

Design Compiler 의 System Interface 모델링(Modeling the System Interface) [내부링크]

System Interface을 모델링 하기 위해서는 아래 작업을 수행 해야 합니다. • 입력 포트에 대한 Drive Characteristics 정의(Defining Drive Characteristics for Input Ports) • 입력 및 출력 포트에 대한 Load 정의(Defining Loads on Input and Output Ports) • 출력 포트에서 팬아웃 로드 정의(Defining Fanout Loads on Output Ports) 입력 포트에 대한 Drive Characteristics 정의(Defining Drive Characteristics for Input Ports) 입력 신호에 대한 delay 와 transition 특성 정의 하기 위해서, Design Compiler는 외부 drive strength 와 input port의 load 정보가 필요합니다. Design Compiler는 drive strength 정보를 확인해서 weak driv

Design Compiler 의 port constraint(Setting Logic Constraints on Ports) [내부링크]

optimization 결과의 성능을 향상시키기 위해서 ports에 constraints을 주어서 중복 포트 또는 인버터를 제거할 수 있습니다 등가 논리 설정(Setting logic equivalence) 일부 입력 포트는 논리적으로 관련된 신호에 의해 구동됩니다. 예를 들어 한 쌍의 입력 포트를 구동하는 신호는 항상 같거나(논리적으로 동일) 항상 다를 수 있습니다(논리적으로 반대). 두 개의 입력 포트가 논리적으로 동일하거나 반대임을 지정하려면 각각 set_equal 또는 set_opposite 명령을 사용합니다. 다음 예에서는 IN_X 및 IN_Y 포트가 논리적으로 같을 때 입니다. prompt> set_equal IN_X IN_Y 입력 포트에 상수 값 할당(Assigning constant values to input ports) constant value 를 input으로 설정하면 Design Compiler가 optimization 중에 주변 논리 기능을 단순화하고 더 작

Design compiler의 Wire Load Models [내부링크]

Wire load models은 topographical 모드에서는 사용하지 못합니다. Wire load models 은 wire length와 fanout이 resistance, capacitance, area of nets에 미치는 영향을 추정 합니다. design compiler는 physical values를 사용하여 wire delays 와 circuit speeds를 계산합니다. Semiconductor vendors는 통계정보를 기반으로 wire load model을 개발해서 저희들 같은 개발자들에게 제공합니다. net의 lengths 를 추정 하기 위해서 area, capacitance, andresistance per unit length 계수와 a fanout-to-length table 을 model에 포함하고 있습니다 . back-annotated wire delay가 없을 때 Design Compiler는 우선 순위에 따라 사용할 wire load model을

Design compiler의 Topographical Mode 환경 설정 [내부링크]

전력 최적화(General Gate-Level Power Optimization ) positive timing slack이란 연산 gate 간의 signal 전달에 걸리는 시간과 실제 걸리는 시간간의 timing이 넉넉 하다는 것입니다. 따라서 여유가 있는 timing을 말합니다. negative timing slack 이란 timing이 부족하기 때문에 buffer를 추가하든 load 가 큰 cell등을 사용하여 timing을 맞춰야 하는 부분입니다. 따라서 Design Compiler는 positive timing slack 경로에서 전력 소비를 줄입니다. Design Compiler 지형 모드에서 positive의 timing 여유 한계를 설정하려면 physopt_power_critical_range 변수를 사용면 됩니다. 디자인 컴파일러는 positive timing slack 이 0.2 이상인 타이밍 경로만 최적화합니다. dc_shell-topo> set_app_var p

Design Compiler의 Constraint Types [내부링크]

Design Compiler는 설계를 최적화할 때 두 가지 유형의 제약 조건을 사용합니다. • Design Rule Constraints • Optimization Constraints • Design Rule Constraints logic library는 implicit constraints 를 define 합니다. constraints 는 design의 function correctly 를 위해 필요 합니다. 라이브러리를 사용하는 모든 디자인에 적용됩니다. design constraints 조건은 optimization 제약 조건보다 우선 순위가 높습니다. implicit 하게 rule을 정의합니다. • Optimization Constraints Optimization constraints 조건은 dc_shell 동안 작업 중인 설계에 적용되며 design’s goals를 나타냅니다. optimization 하는 동안 constraint를 만족시기키 위해 노력하지만 desig

Design Compiler의 환경 설정(Defining the Design Environment) [내부링크]

Design를 optimization하려면 먼저 설계가 작동할 것으로 예상되는 environment를 정의해야 합니다. operating conditions, system interface characteristics, wire load models을 정의 하여 environment 를 정의 합니다. Operating conditions 에는 temperature, voltage, process variations 가 포함됩니다. System interface 에는 input drivers, input and output loads, fanout loads 이 포함 됩니다. environment model 는 합성 결과에 영향을 바로 줍니다. 환경 설정 명령어(Commands Used to Define the Design Environment) set_drive set_operating_condition set_driving_cell set_load set_fanout_load #d

Design Compiler의 design save 또는 remove [내부링크]

Saving Designs 다양한 names 또는 formats 으로 저장할 수 있습니다. Design Compiler는 자동으로 design을 저장하지 않기 때문에 manually 저장을 해야 합니다. Supported Design File Output Formats Format Description .ddc Synopsys internal database format Verilog IEEE Standard Verilog (see the HDL Compiler documentation) svsim SystemVerilog netlist wrapper Note: The write_file -format svsim command writes out only the netlist wrapper, not the gate-level DUT itself. To write out the gate-level DUT, you must use the existing write_file -format

design compiler의 Technology 변환(Translating Designs From One Technology to Another) [내부링크]

compile_ultra -incrementa 명령어를 사용해서 technology 를 다른 technology 로 바꿀수 있습니다. translated 를 진행할때 cell 단위로 기존 library에서 new target library로 gate structure는 유지한채 변환합니다 functional description을 기준으로 target library에서 일치하 cell을 찾습니다. 만약 일치하지 않는 cell이 있다면 target library에서 새로운 map을 하게 됩니다. 특정 라이브러리 셀을 선호하거나 비활성화(set_prefer 및 set_dont_use 명령 사용)하고 레지스터 유형을 지정(set_register_type 명령 사용)하여 대체 셀 선택 할 수 있습니다. target_library 으로 target library를 지정하면 되고, design의 link 이후 top-level design의 local_link_library 속성은 target

design compiler의 Design Hierarchy 바꾸기(Changing the Design Hierarchy) [내부링크]

Design Hierarchy를 바꾸기는 것은 처음 HDL을 설계 할 때부터 반영해서 하는 것이 가장 좋습니다. HDL 설계가 이미 끝난 경우는 Design compiler를 통해서 바꿀수 있고, report_hierarchy 명령어를 통해 기존의 Hierachy 를 확인 할 수 있습니다. Hierarchy 추가 (Adding Levels of Hierarchy) hierarchy 의 level을 추가하는 것을 grouping 이라고 부릅니다. grouping cells 또는 related components을 subdesigns으로 만듬으로 써 hierarchy 의 level을 추가 할 수 있습니다. group 명령어를 통해서 cells (instances) 을 group화 할 수 있습니다. instance 이름을 U로 SAMPLE 이라는 이름으로 두개의 cell을 group 화 할라면 prompt> group {u1 u2} -design_name SAMPLE -cell_name

design compiler의 Editing Designs 하기 [내부링크]

디자인 편집(Editing Designs) 디자인 컴파일러는 메모리에 있는 디자인을 편집 할수 있습니다. 아래 dc_shell 명령을 사용하여 넷리스트를 변경하거나 디자인을 편집할 수 있습니다. Object Task Commnad Cell Create a cell Delete a cell create_cell remove_cell Net Create a net Connect a net Disconnect a net Delete a net create_net connect_net disconnect_net remove_net Port Create a port Delete a port create_port remove_port remove_unconnected_ports Pin Connect pins connect_pin Buses Create a bus Delete a bus create_bus remove_bus current_design 명령을 사용하지 않고 디자인의 모든 계층의

design compiler의 디자인 개체 나열 -Listing Design Objects [내부링크]

design compiler는 design objects 를 접근 하기 위한 명령어들을 제공합니다. List 최소한의 정보로 목록을 제공 Display 디자인 개체의 특성이 포함된 보고서를 제공 Return 다른 dc_shell 명령에 대한 입력으로 사용할 수 있는 컬렉션을 보여줍니다. Design Objects를 보기 위한 명령어((Commands to Access Design Objects) Object Command 명령어 description Instance list_instances report_cell Lists instances and their references. Displays information about instances. Reference report_reference Displays information about references Port report_port report_bus all_inputs all_outputs Displays informati

Design compiler의 object 지정 및 attribute 설정, 상대경로, 절대경로 [내부링크]

Design Objects 지정 (Specifying Design Objects) Design Objects는 상대적 경로 또는 절대적 경로로 지정 할 수 있습니다. 상대 경로 사용 (Using a Relative Path) 상대 경로를 사용하여 디자인 개체를 지정하는 경우 해당 개체는 current design에 있어야 합니다. 기본적으로 current instance 는 현재 설계의 top level입니다. Count_16 디자인의 계층적 셀 U1/U15에 dont_touch 속성을 주고자 할 때 prompt> current_design Count_16 Current design is ’Count_16’. {Count_16} prompt> set_dont_touch U1/U15 or prompt> current_design Count_16 Current design is ’Count_16’. {Count_16} prompt> current_instance U1 Current in

design compiler의 design 생성, 복사, 이름 바꾸기- Creating, Copying, Renaming Designs [내부링크]

design 새로 만들기 (Creating Designs) 새로운 Design을 만들려면 create_design 명령을 사용하면 됩니다. 현재 작업 디렉토리에서 메모리 파일 이름은 my_design.db 로 만들어 집니다. prompt> create_design my_design 1 prompt> list_designs -show_file /work_dir/mapped/test.ddc test (*) test_DW01_inc_16_0 test_DW02_mult_16_16_1 /work_dir/my_design.db my_design 1 create_design으로 만든 디자인에는 디자인 개체가 포함되어 있지 않고, create_clock, create_cell 또는 create_port와 같은 명령을 사용하여 design object를 새로운 design에 추가합니다. design 복사(Copying Designs) design을 메모리에 복사하고 복사본의 이름을 바꾸려면 copy

design compiler에서 file 확인과 current design 확인 및 설정 [내부링크]

메모리에 있는 design 확인 하기(Listing Designs in Memory) list_designs 명령어를 사용하여 design compiler의 memory에 있는 file 목록들을 확인 할 수 있습니다. prompt> list_designs -show_file 옵션을 사용하면 조금더 자세하게 파일 정보를 확인 할 수있습니다. prompt> list_designs -show_file list_desing 옵션으로 나오는 file list 중에 * 표가 있는 것이 current design 입니다. Current Design 설정 (Setting the Current Design) read_file 명령어로 design file을 읽고 나면 current design이 바뀔 수 있습니다. prompt> read_file -format ddc MY_DESIGN.ddc 위 명령어와 같이 MY_DESIGN.ddc 파일을 read 한 후에는 current desing이 MY_DE

design compiler에서 design 연결 - Linking Designs [내부링크]

design을 완성하기 위해서는 모든 library component가 references하는 design에 연결 되어야 합니다. 이를 linking the design 또는 resolving references 라고 합니다. link 명령어를 사용해서 수행할 수 있고, link_library 및 search_path 시스템 변수와 local_link_library 속성을 사용하여 설계 참조를 확인합니다. link_force_case 설정으로 link과정의 대소문자 구분 여부 설정도 가능합니다. Reference를 참조 하는 방법(How the Tool Resolves References) 1. current design 및 해당 계층 구조에서 참조되는 라이브러리 구성 요소 및 하위 디자인을 결정합니다. 2. link libraries 를 검색하여 이러한 참조를 찾습니다. Design Compiler는 먼저 현재 디자인의 local_link_library 속성에 정의된 라이브러리 및

Multithreshold 전압 library cell 분석(Analyzing Multithreshold Voltage Library Cells) [내부링크]

analyze_library -multi_vth 명령을 사용하여, 각 threshold voltage group에 있는 target library의 cell 의 timing characteristics에 따른 leakage power를 비교하기 할 수있습니다 . ***************************************************************** Multi-VT Library Analysis Report Vth Group/Library Name Avg. Avg. (don't use cells/total cells) Leakage Timing ***************************************************************** SVT (0/998) 1.00 1.00 (Baseline) LVT (0/793) 1.80 1.59 ULVT (0/998) 4.88 3.75 ********************************

블랙박스 처리 (Handling Black Boxes) [내부링크]

Design Compiler 는 합성할때 black boxes 도 지원합니다. black boxes는 logic의 functionality를 모를 때나, logic library에 연결되지 않는 cell, physical representation를 가지지 않는 cell 들을 말합니다. 지원되는 블랙박스 (Supported Black Boxes) Functionally를 모르는 black boxes. logic functionality를 모르는 cell들이며 Macro cell 비어있는 hierarchy cell 또는 없는 module(Empty hierarchy cells or black-boxed modules) 모르거나 연결 안되는 cell(Unlinked or unresolved cells 블랙박스 셀에 대한 timing 모델 형식정의 하기(Defining Timing in Quick Timing Model Format) Design compiler를 사용하면 logical

Milkyway 데이터베이스 사용 (Using a Milkyway Database) [내부링크]

Design Compiler 는 write_milkyway 명령을 사용하여 netlist, synthesis constraints, any physical guidance information 를 포함하여 매핑된 고유한(mapped과 uniquified) design을 Milkyway database에 저장합니다. (read_milkyway 라는 명령어는 없습니다 ) Milkyway database를 사용하면 netlist를 verilog 나 VHDL 형식으로 netlist를 저장할 필요는 없습니다. Milkyway 데이터베이스는 Milkyway design library 와 physical library 데이터를 Milkyway reference library에 저장합니다 . Milkyway design library uniquified하고 mapped된 netlist 와 constraints를 저장한다 mw_design_library 변수로 루트 디렉토리로 경로로 설정하여 현제

design compiler에서 read_file, analyze, elaborate 명령어로 파일 읽기 (file read) [내부링크]

Design Compiler 도구는 디자인 파일에서 메모리로 디자인을 읽습니다. 디자인을 읽은 후 다양한 방법으로 변경할 수 있습니다( subdesigns을 grouping, ungrouping 하거나, subdesign references 바꾸거나) 읽을수 있는 design format(Supported Design Input Formats) Format Description .ddc Synopsys internal database format (recommended) .db Synopsys internal database format Verilog IEEE standard Verilog (see the HDL Compiler documentation) VHDL IEEE standard VHDL (see the HDL Compiler documentation) SystemVerilog IEEE standard SystemVerilog (see the HDL Compiler docu

Sequential Cells and Instantiated Combinational Cells 을 위한 libray subset [내부링크]

sequential cells 과 instantiated combinational cells 에 대해서 mapping 및 optimization을 진행 할때 특정 library subset으로 지정할 수 있습니다. 특정 library cell sub set을 지정하기 (Specifying the Library Cell Subsets) 같은 functional identification을 가지거나, sequential library cells 또는 instantiated combinational cells 로 이루워진 cell들에 대해서 define_libcell_subset 명령어를 사용하여 지정할 수 있습니다 지정이 되면 compile 중에 일반 mapping을 수행하지 않고, sequential cells 또는instantiated combinational cells 조합으로 mapping이 되게 됩니다. library cell subset을 정의 한 후 sequential ce

Link Library Subsets 설정 [내부링크]

Design Compile를 사용하여 link_library 명령을 사용해서 지정된 library cell을 library subset에서 선택되게 할수 있습니다. Link Library Subsets 지정 (Specifying Link Library Subsets) 보통 library cells은 모든 link_library 변수의 모든 library에서 선택 됩니다. 그러나 set_link_library_subset 명령어를 사용하면, Design Compiler는 library cell의 선택을 제한 하게 됩니다. multivoltage 또는 multicorner 설계에서 voltage, temperature을 link_library로 결정하게 됩니다. 만약 두개 이상의 library가 조건을 voltage, temperature 조건을 만족하게 되면 tool은 “Ambiguous Libraries” warning (MV-086) 을 띄우게 됩니다. set_link_librar

Library인식 시키고, 합성하기 (Library-Aware Mapping and Synthesis) [내부링크]

design compiler에서 target logic library를 characterize 할수 있고, ALIB 라는 pseudolibrary(유사 라이브러리) 를 만들 수 있습니다. 위 library 로 boolean로 표현되는 회로를 실제 gate에 mapping 할 수 있습니다. design compiler중에서 alib 을사용하여, area와 delay를 optimization 할때 더 큰 flexibility와 많은 solution을 제공하여 조금 더 똑똑하게 탐색합니다. ALIB library의 이점을 위해서는 compile_ultra 명령을 사용해야 합니다. Library characterization 는 합성 초기 단계에서 실행하며 design compiler가 각 logic library를 characterize 하는데 시간이 걸리기 때문에 design compiler 설치시 ALIB 파일을 생성하고, 특정 repositoy 에 저장해 여러 사용자가 공유 하는 것

Libraries 관련 command (working with libraries) [내부링크]

간단한 libray command를 가지고 여러 작업을 수행할 수 있습니다. 라이브러리 불러오기(Loading Libraries) design compiler는 .db 형식의 logic libraries와 .sdb 형식의 symbol libraries를 필요로하고 read_file 명령어로 읽어올 수 있습니다. prompt> read_file my_lib.db prompt> read_file my_lib.sdb 만약 binary(db) 형식이 아니라면 read_lib 명령을 사용해서 library souce를 compile 해야 합니다. 라이브러리 나열 (Listing Libraries) design compiler는 메모리에 로드된 라이브러리를 참조하고, list_libs 명령어를 통해 로드된 라이브러리를 확인 할 수 있습니다 prompt> list_libs 라이브러리 내용 확인(Reporting Library Contents) report_lib 명령어를 통해 라이브러리의 내용을

target library의 subsets 지정 [내부링크]

Design compiler는 target library의 subset을 사용하여 특정 block의 optimization을 제한할 수 잇습니다. target library subsets에서 발생하는 error 와 conflict을 확인 할 수 있습니다. target library subset이 어느 계층에서 define 되었는지 하위 계층과 top level에서 확인 할수 있고 design과 design instance에서 target library subset의 constraint를 제거 할수도 있습니다. Target Library Subsets 지정(Specifying Target Library Subsets) 보통 optimization은 target library에서 아무 library cell 을 선택합니다. 그러나 set_target_library_subset 명령어를 사용해서 특정 블록에서 library cells을 사용하도록 제한 하거나, 블록 단위로 target l

Design compiler - library 에 대한 용어 정리 link, target, DesignWare libray [내부링크]

Design Compiler에서 사용하는 libray 용어를 정리하고 넘어가겠습니다. Design Compiler는 logic, symbol, and DesignWare libraries 를 사용해서 design function 을 implementation 하고 합성 결과를 보여줍니다. logic libraries logic libraries란 design compiler 에서 optimization 하는 도중에 target libraries 를 call 해서 mapping 하는 것 입니다. target libraries netlist 를 만들 때 사용하는 cell 들이 target library 에 들어 있습니다. target libraries는 link libraries의 subset 이며 compile과 design을 translate 할때 사용합니다. Link libraries Link libraries는 delay models 을 define 하며timing values와

library 셋업하기 - Setting Up and Working With Libraries [내부링크]

library를 셋업 할때 가장 중요한건 어떤 Vendor를 선택할것인지 입니다. vendor 선정(Selecting a Semiconductor Vendor) vendor를 선정하는건 마음대로 할 수 있는 것은 아니지만 vendor에 따라 cell 특성 등이 모두 다르기 때문에 가자 중요한 작업입니다. vendor로서 삼성, tsmc 등이 매우 유명하며, UMC 등 매우 많은 vendor가 있습니다. 아래의 기능들을 고려 하여 vendor 설정이 필요합니다. 최도 동작 주파수 - Maximum frequency of operation 물리적 제한 - Physical restrictions 파워 제한 - Power restrictions 패키징 제한 - Packaging restrictions 클락 트리 구현 - Clock tree implementation 플로플랜 계획 - Floorplanning 백 어노테이션 기능 - Back-annotation support library,

Design compiler의 Design Exploration지원 [내부링크]

새로운 RTL을 설계하고, 이를 타사 IP 및 많은 이전에 설계된 RTL 블록과 merge 하는 데 시간이 많이 필요하고 어려운 과정입니다. 이 과정에서 design compiler를 통해 합성 및 report를 확인하면 설계에 많은 도움이 되는데 •설계 규칙 및 최적화 제약과 같은 특정 설계 목표를 확인 할 수 있습니다( Implement specific design goals, such as design rules and optimization constraints.) • constrint 오류를 찾을 수 있습니다(Detect mismatches and missing constraints.) • design에서 bit가 안맞거나, 신호가 연결안되거나 한 것들을 다 찾을 수있습니다 (Resolve mismatches and design data inconsistencies. ) timing margin을 10%로 잡고 이를 만족 시키지 못하면 설계를 변경하고, 다시 합성과 repo

합성을 위한 RTL 설계 - 좋은 코드는 naming이 좋다 [내부링크]

좋은 코드는 Identifiers, Expressions, Function, Modules 을 구조적으로 잘 선언한 것들 입니다. Identifiers 를 잘 쓰기(Guidelines for Identifiers) identifier name 으로 signal, the value of a variable, or the function of a module의 의미를 잘 전달할 수 있는 것이 좋습니다. 그래서 설계를 하다보면 naming에 시간을 많이 쓸때가 많습니다. 신호 이름이 장황하지 않고 신호의 의미나 변수 값을 의미 하도록 선언 팀원들과 약속을 하고 대소문자를 잘 적절히 사용해 눈에 잘 보이도록 사용하는 것이 좋습니다 가장 중요한건 사전에 팀원들과 naming rule을 만들길 매우 추천합니다. 그리고 반드시 예약어는 피해야합니다. 수식잘 쓰기(Guidelines for Expressions) 수식도 남이 보기 좋게 장황하게 쓰지말고 괄호로 우선순위가 잘 보이게 설계 하는것이

합성을 위한 RTL 설계 - inferring을 통한 합성 (HDL Coding for Synthesis-Technology-Independent) [내부링크]

설계를 시작 할때 처음 부터 구조를 잘 잡고 시작해야 합니다. 그리고 설계자는 hardware implications 되었을 때 를 생각하며 design 해야 합니다. RTL에 따라서 합성의 size와 timing 이 달라 지기 때문에 합성 했을 때의 결과를 예상하며 RTL을 설계해 주셔야 합니다.(말이 쉽지 경험이 많이 필요합니다) 설계를 하면서 multiplexers, registers, three-state drivers, and multibit components 에 대해서 inferring을 할 수 있습니다. inferring 한다는것은 특정 설계 부분을 반드시 위와 같이 처리해 달라고 tool 에게 알려 주는 작업입니다. 그렇지 않으면 툴이 임의로 합성을 진행 할 수 있기 때문에 설계자의 필요에 의해서 지정이 필요합니다. Inferring Multiplexers RTL 설계에 case 문으로 설계 하였지만 최적화 과정중에 mux가 아닌 combination 회로로 대체 될

합성준비 - design data 관리 [내부링크]

systematic organizational 하게 design 을 관리 해야 합니다. design data를 컨트롤 하고 조직화 하는 것이 그 방법입니다. 파일 타입에 따른 확장자 Design data type 확장자 설명 Design source code .v verilog .vhdl VHDL 합성 스크립트 .con constraint 제약조건 .scr 스크립 리포트랑 로그 .rpt 리포트 report .log 로그 log database .ddc Synopsys internal database format 탑다운 방식 한번에 합성을 돌려서 하나의 결과를 얻는 방식. 바텀업 방식 아래에서 부터 합성하고 그 결과들을 위로 올려서 다시 합성하는 방식 #designcompiler #design #compiler #합성 #synthesis #library #라이브러리 #설계 #최적화 #optimize #setup #hold #violation #optimization #clk #cloc

합성준비 - Partitioning design for synthesis [내부링크]

design을 잘 partitioning을 해놓으면 합성할때 매우 효과적입니다. partitioning의 사이즈 제약 조건은 없고 설계자의 선택입니다. 너무 작게 partitioning을 하면 최적화에 좋지 않고, 너무 크게 partitioning을 하면 runtime이 길어지게 됩니다. design 재사용 (Partitioning for Design Reuse) 이전에 검증된 design을 다시 사용하게 되면 design, integration, and testing effort 을 줄일 수 있게 됩니다. instantiation 할 수 있게 design을 module화 해서 설계하는 것이 좋습니다. 설계: • 설계 인터페이스를 철저히 정의하고 문서화 만듭니다. • 가능하면 인터페이스를 표준화 하고 • HDL 코드를 module parameter 를 사용하여 만듭니다 . 이어지는 연산의 combination 회로는 유지하자(Keeping Related Combinational Lo

Design compiler 합성 시간 개선방법 [내부링크]

합성외 런타임을 개선 시키는 방법(Runtime Improvement Techniques Outside of Synthesis) 스크립트의 명령어르 순서대로 실행하다 보면 상당한 시간이 필요로 합니다. 이때 멀티 코어를 사용하거나 backgroun에서 병렬적으로 처리 한다면 runtime을 많이 개선 할 수 있습니다. 백그라운드 에서 명령어 수행(Running Commands in the Background) -bg 옵션과 redirect 명령어를 사용해서 백그라운드에서 돌리면 시간을 개선 할 수 있습니다. enable_redirect_bg_commands 명령어를 사용해서 back ground 에서 read-only 또는 non-read-only 명령어를 실행여부를 설정 할 수 있습니다. • -all (the default) : redirect -bg 명령을 사용하여 백그라운드에서 read-only 또는 non-read-only 명령어를 모두 실행 • -read_only: Run

Design compiler의 synthesis flow [내부링크]

합성 flow 에 대해 간단히만 정리해 보고 넘어가겠습니다. 1. RTL 디자인 설계(Develop the HDL files.) verilog 또는 VHDL 과 같은 HDL 언어로 설계된 RTL 파일을 설계합니다. 설계 할 때 data management, design partitioning, and your HDL coding style 고려 해야 합니다. 2. library 파일 준비 (Specify the libraries.) link, target, symbol, synthetic, and physical libraries 파일들을 준비해야 합니다. 3. RTL 파일 또는 netlist 들을 툴로 불러오기(Read the design.) Design Compiler는 HDL Compiler 를 이용하여 RTL 또는 netlist 모두 읽을 수 있습니다. 그리고 .ddc 형식의 gate level의 netlist 도 읽을 수 있습니다. 4. 합성 환경 설정(Define the

Design compiler 멀티 코어 사용하기 [내부링크]

합성 시간은 설계자에게 매우 중요한 변수입니다 합성이 빠르면 빠를수록 결과를 확인하고 report를 확인 후 설계를 수정하는 작업을 많이 할 수 있죠 서버에서 멀티 코어를 설정해서 합성 runtime 에 도움 되도법에 대해 정리해보겠습니다. 멀티코어 기술을 사용하면 여러 코어를 사용하여 런타임을 개선할 수 있습니다. multicore 기능은 optimization tasks 를 작은 task로 나누어서 각각의 core에 처리 하게 함으로써 runtime을 줄일 수 있습니다. compile_ultra 명령어를 통해 multicore 기능을 사용할 수 있으며 DC Ultra in wire load mode and topographical mode, Design Compiler NXT, and Design Compiler Graphical 에서는 지원하지만 DC Expert. 에서는 위 기능을 지원하지 않습니다. 8개의 core마다 1개의 design compiler 의 licence가

Starting the design compile tool [내부링크]

design compile 툴 실행방법 linux 환경에서 아래 명령어를 칩니다 dc_shell synopsys가 설치되어 있는 tool의 절대 경로가 지정되어 있어야 합니다. 상대 경로로 지정된 경우 실행이 안될 수 있습니다. % /tools/synopsys/2019.03/bin/dc_shell dc_shell을 scipt로 을 실행 시키고 싶으시면 -f 옵션을 사용해서 script file을 물려 주시면 됩니다 명령어를 추가로 실행 시키고 싶으면 -x 옵션을 사용하면 됩니다. gui 모드로 보고 싶다면 gui_start를 실행 시키면 됩니다. prompt> gui_start dc_shell이 수행되면 1. 명령 로그 파일을 생성. 2. .synopsys_dc.setup 파일을 읽고 실행합니다. 3. 각각 -f 및 -x 옵션으로 지정된 스크립트 파일 또는 명령을 실행합니다. 출력 되는 명령어를 참조 하고 싶을때 (Redirecting the Output of Commands) >

Design compiler의 compile 전략 [내부링크]

디자인을 컴파일하려면 DC Expert에서 compile 명령을 사용하거나 DC Ultra 또는 DC Graphical에서 compile_ultra 명령을 사용합니다. command option에 따라 최적화를 달리 할수 있습니다. design compiler 는 hdl 파일을 읽고, 최적화 하는데, design compiler는 library cell을 배치하는데 이때 designer가 설정한 attributes 와 constraints에 맞춰 functional, speed, and area를 경험적으로 최적화 하여 library cell을 배치 합니다 . 그 말인 즉슨 최신 버전일 수록 성능이 좋다라는 것입니다. 여러 회사의 경험이 쌓여 design compiler의 성능이 향상 되게 됩니다. 최적화는 timing과 area의 trade off 이며 area를 줄이기 위해 f/f을 적게 쓰면 그만큼 timing은 weak 해질 수 있고, timing 의 margin을 늘리기 위

Design Compiler Modes [내부링크]

Design compiler를 수행 하기 위한 step에 대한 정리 Design Compiler Modes design compile 에서는 tool mode에서 Wire load mode 또는 topographical mode 중 하나를 선택 해야 합니다. Multimode 와 UPF mode 는 tool modes가 아닙니다 . multimode는 test mode and standby mode에서 다양한 conditions과 multiple modes를 operating 하는 mode 입니다. UPF 모드는 topographical mode에서 고급 저전력 방법론을 체크하는 모드입니다. wire mode는 delay estimation을 보기 위해 wire load 모델을보는 default 모드 입니다 . 여러분의 설계의 delay가 얼마나 되는지 확인 하는 것입니다. dc_shell을 실행 후 compile 명령어는 DC Expert를 실행합니다. DC Expert는 RTL을

Design Compiler Setup file 만들기 [내부링크]

design compile을 수행하기 위한 setup file이 필요합니다. 디자인 컴파일러를 시작하기 전에 $SYNOPSYS 변수가 설정되어 있고 bin 디렉토리에 대한 경로가 $PATH 변수에 포함되어 있어야 합니다 . /tools/synopsys/2014.09/bin/ 다음과 같이 디자인 컴파일러 설치가 포함된 Synopsys 경로를 나타내는 절대 경로를 지정해야 합니다. 상대경로를 쓰면 접근하지 못합니다. Design Compiler를 호출하면 synopsys_dc.setup 라는 파일을 자동으로 찾아 실행하게 됩니다. synopsys_dc.setup 에는 parameters and variable를 초기화 하고 design libraries를 선언하는 등의 명령어가 있습니다 . synopsys_dc.setup 파일을 찾기 위해 아래 순서대로 폴더를 찾게 됩니다. 1. The Synopsys root directory ($SYNOPSYS/admin/setup) 2. Your

Design compiler의 용어 정리 [내부링크]

많이 쓰는데 헷갈리는 용어 확인하고 갑시다~ Syntehsis 합성! • Synthesis is the process that generates a gate-level netlist for an IC design that has been defined with a hardware description language (HDL). 합성은 HDL(하드웨어 설명 언어)로 정의된것들 verilog, System Verilog, VHDL 을 gate level로 바꿔서 netlist로 바꾸는 것 입니다. gate란 and/or gate, flip flop, latch, mux 등을 말합니다. 즉 여러분의 RTL 코드가 nd/or gate, flip flop, latch, mux 의 조합으로 변경 되는것 입니다 . Synthesis includes reading the HDL source code and optimizing the design created from that descriptio

Design compiler 란 무슨 tool 일까요 [내부링크]

Design Compiler는 Synopsys회사의 tool 이며 설계자들이 만든 verilog 파일의 function 들을 optimize 하여 smallest하고 fastest 한 logic으로 바꾸는 기능을 합니다. RTL로 서술된 것을 실제 사용하는 combination 회로 또는 sequential 회로 등으로 바꾸는 것을 synthesis 즉 합성 이라고 말합니다. flat 하거나 hierachy 한 설계 모두 합성을 할수 있으며 combination 회로 또는 sequential 회로들을 speed, area, power 에 대해 최적화 기능을 제공하는 tool 입니다 design compiler 가 제공하는 기능 1. Early RTL exploration, which leads to a better starting point for RTL synthesis RTL을 보고, 어디에서 부터 합성을 해야 좋은지 찾는다. 2. Minimized power consumptio

verilog - random 값 으로 테스트하기 [내부링크]

module을 설계한 후에 테스트를 진행할 때 input으로 값을 넣어주고, 출력 값을 확인 하는 방식으로 검증할 때가 많습니다. input을 그때 그때 새로 셋팅하는 것은 손이 많이 가기 때문에 random 하게 하고 싶을 때가 많습니다. task random_input; input en; reg[7:0] data1; reg[7:0] data2; reg[7:0] data3; reg [31:0] a,b; if(en) begin data1 = $random(); data1 = $random(); data2 = $urandom(); data1 = $random()%10; // 0~9 까지 의 숫자를 random 하게 반환 data3 = $urandom_range(0,255); //0~255 까지의 숫자를 random하게 반환 a = $random%100; // a = -49~+49 사이의 임의의 정수 b = $urandom%100; // b = 0~99 사이의 임의의 정수 end $ra

design compiler 에 쓰는 파일 형식 정리 [내부링크]

*.v - verilog RTL source file. DC나 ICC에서는 Gate level netlist로 쓴다. *.ddc - synopsys internal database format. Design compiler에서 Write를 하면, ddc파일 형태로 포맷이 나옵니다. ddc는 RTL code, Top design 적용이 된 로직(Gtech, 부울식으로 풀린) 포맷입니다. ddc를 안하고 매번 베릴로그 파일을 읽고, top design 적용하고, 연결하고, Gtech으로 적용하면 많은 시간이 소요되어 이 포맷을 사용합니다. *.svf - 자동 셋업 파일. 보통은 이 파일로 default setup을 지정합니다. Formality 할 때 필요한 파일입니다. *.spef - standard parasitic exchange format. P&R하면 나오는데, 여기에 RC값 들어있어서 이 딜레이를 갖고 STA 할 때 쓴다. *.sdf - standard delay format

openCV -CvMat 함수 [내부링크]

CvMat 함수는 행렬 구조 자료 입니다. 멤버변수 변수설명 상수 상수설명 Type 행렬요소의 자료형 CV_8UC1 8비트, unsigned, 1채널 CV_8UC3 8비트, unsigned, 3채널 CV_32SC1 32비트, signed, 1채널 CV_32SC3 32비트, signed, 3채널 CV_32FC1 32비트, float, 1채널 CV_32FC3 32비트, float, 3채널 CV_64FC1 64비트, double, 1채널 CV_64FC3 64비트, double, 3채널 step 1행의 바이트 수 rows 행의 크기 cols 열의 크기 Type –채널은 행렬을 여러 장 포갠 것으로 생각하고, 최대 4개(R,G,B, Alpha)가지 가능 Step –행렬의 원소에 직접 접근할 때 유용하다. Rows와 Cols 는 행 우선순위로 저장되고 메모리 바이트 수는 4의 배수 이다. 행렬 요소의 첫 메모리 번지는 각 자료형에 따라 union data의 포인터 멤버 에 저장된다. typed

openCV - 행렬(CvMat) 또는 영상(IplImage) 요소 접근(Get/Set) [내부링크]

행렬(CvMat) 또는 영상(IplImage) 요소 접근(Get/Set) cvSetReal*D : 1채널에서만 사용 idx의 지정된 요소의 값을 value값으로 변경 cvSet*D : 1, 2, 3 채널에서 사용 idx의 지정된 요소의 값을 value값으로 변경 cvGetReal*D : 1채널에서만 사용 idx의 지정된 요소의 값을 반환 cvGet*D : 1, 2, 3 채널에서 사용 idx의 지정된 요소의 값을 반환 cvmGet, cvmSet : 1채널, 실수행렬(CV_32FC1, CV64FC1)에서만 사용 cvmGet은 row, col 위치의 요소 값을 반환 cvmSet은 row, col 위치의 요소 값을 value 값으로 변경 cvPtr*D : 1, 2, 3 채널에서 사용 idx의 지정된 포인터의 자료형을 반환한다(type은 생략 가능) cvSet, cvSetZero cvSet은 arr의 모든 요소 또는 화소 값을 value로 변경 cvSetZero는 arr의 모든 요소 또는 화

openCV - IplImage [내부링크]

IplImage 자료형 2차원 영상을 위한 자료구조 nChannel 채널의 개수로 1에서 4까지 정수 depth 화소의 비트 표현이다 (IPL_DEPTH_8U=8비트 unsigned 정수…) dataOreder 채널 자료의 저장 순서를 의미한다 - 0 : 인터리브 컬러 채널로 컬러영상에서 각 화소의 채널 값인 BGR이 저장되고 , 다음 화소의 BGR, 그다음 화소의 BGR이 저장되는 방식 - 1 : 분리 컬러 채널로 한 채널의 모든 화소가 저장된 후 다음 채널이 저장되는 방식 orgin 영상의 원점을 정의 한다 0 : 왼쪽상단이 원점 1 : 왼쪽 하단이 원점 width / height width는 가로 방향의 화소 , height는 세로 방향 화소 roi Null이면 전체 영상이 관심영역 coi는 관심채널로 0이면 전체 채널 1이면 0th 채널 나머지 변수는 영상내의 사각 영역을 나타냄 widthStep 한행의 바이트 수이다. 파일에서 영상 읽기 및 화면에 출력하기 IplImage*

python - 텍스트 파일 처리하기 [내부링크]

텍스트 파일에 관련된 함수들에 대해 다뤄보겠습니다 파이썬으로 파일을 읽고 다루고, 파일을 만들 수 있습니다. 텍스트 파일의 경우 line단위로 파일을 읽을 수도 있고 모든 데이터를 한번에 읽을 수 있습니다. open(), close(), read() fr = open('txt_read_ex.txt', 'r') txt_data = fr.read() print(txt_data) fr.close() open() 열고자 하는 txt 파일을 선언해주며, read 하고자 할때 r write 하고자 할때 w read() txt 파일을 읽어서 특정 변수에 저장하고자 할 때 close() close를 사용해서 변수를 닫아 줍니다 이 과정을 통해 컴퓨터의 메모리 사용을 줄여줍니다. readline() fr = open('read_ex.txt', 'r') line_data = fr.readline() while line_data : print("line_data : "+ str(line_data)) l

openCV - cvLoadImage와 cvShowImage 함수로 이미지 뿌리기 [내부링크]

openCV의 기본 function인 이미지 파일을 읽어와서 이미지를 show 하는 기능을 공유하고자 합니다. #include "stdafx.h" #include <cv.h> #include <cxcore.h> #include <highgui.h> int _tmain(int argc, _TCHAR* argv[]) { IplImage * img; img = cvLoadImage("d:\\test.jpg"); cvNamedWindow("HelloCV"); cvShowImage("HelloCV", img); cvWaitKey(); cvDestroyWindow("HelloCV"); cvReleaseImage(&img); return 0; } IplImage 이란 Image Processing Library 로서 이미지 구조체 관련 함수를 사용하기 위한 라이브러리 입니다. Image I/O (이미지 입출력) IplImage* cvLoadImage(image_path); 파일로 부터 이미지를

openCV - 픽셀값 확인하기 CvScalar [내부링크]

픽셀(Pixel: Picture Element)이란 이전 글에서도 설명 하였는데 ㅎㅎ 이미지는 픽셀의 집합입니다. 픽셀이란 픽셀의 색: 적색(Red), 녹색(Green), 청색(Blue) 컬러의 수는 픽셀 당 비트 수(밝기 레벨) 입니다. 비트에 따른 색표현 Pixel의 값 = (위치, 색) 로 표현 할 수 있으며 위치 : 각 픽셀의 2D 좌표 (Image Coordinate System) 픽셀의 좌표 예시 색 : CvScalar (색을 저장하는 구조체) 색을 저장하는 변수 라고 이해하시면 편합니다. 4 개 이하의 숫자를 저장할 수 있도록 만든 구조체 struct CvScalar { double val[4]; }; 0번은 blue, 1번은 green, 2번은 red 값을 저장 Example) CvScalar s; s.val[0] = 200; (Blue) s.val[1] = 11; (Green) s.val[2] = 123; (Red) CvScalar cvGet2D (IplImage*,

(18) image processing과 point processing 에 대하여 [내부링크]

image processing 이란 한 이미지 f 를 새로운 이미지 g 로 만드는 과정 입니다 Image Processing의 두가지 : 1. 이미지 f 의 색을 변경하는 것 이미지 색을 변경 2. 이미지의 영역을 변경하는 것 이미지 영역을 변경 *image filtering: 이미지의 색상 값을 변경 g(x) = h(f(x)) 이미지 색상 변경 예시 이미지 색상 변경 예시2 * image warping: 이미지의 영역을 변경 g(x) = f(h(x)) 이미지의 영역을 변경 예시 이미지의 영역을 변경 예시2 Point Processing 가장 단순한 Image Filtering: 이미지의 점의 위치 x,y 에 상관없이 일괄적인 변환 적용 g = t(f) Important: 모든 이미지 점 하나하나가 독립적으로 처리됨 –점들의 상관관계 정보를 전혀 사용하지 않음 이미지 각 Pixel의 RGB 밝기정보 값의 범위 : 0~255 포인트 프로세싱의 정의: g = t ( f ) point p

openCV 라이브러리 정리 [내부링크]

openCV에서 각 기능별 어떤 libray를 include 해야 하는지 표로 정리해 보았습니다. OpenCV 1,x, 2.0, 2.1의 주요 라이브러리 구조 라이브러리 주요기능 CXCORE 기본 자료구조, 행렬연산, 그리기 함수 등 CV 영상특징 추출, 필터링, 영상분할 등 HIGHGUI 윈도우 관련 함수, 영상 읽기/저장 함수 등 ML Bayes 분류기, 결정트리 등 기계학습 관련 함수및 클래스 CXAUX 스테레오 대응점 계산, 뷰 모핑 등 라이브러리 설명 Opencv_core 기본자료구조, 행렬 연산, 그리기 등 Opencv_imgproc 필터링, 히스토그램 처리, 컬러 변환 등의 영상처리 알고리즘 구현 Opencv_highgui 윈도우 관련 GUI, 영상 및 비디오I/O Opencv_ml Bayes 분류기, 결정 트리 등 기계학습 알고리즘 Opencv_features2d 2D 특징 디스크립터 Opencv_video 움직임 검출 및 물체 추척 Opencv_objdetect 영상

openCV - CvPoint, CvScalar, CvSize,CvRect 함수 기능 및 사용 예시 [내부링크]

CvPoint CvPoint는 각 화소 또는 2차원 점의 좌표를 표현하기 위한 구조체입니다. 자료형 멤버 변수 인라인 함수 설명 CvPoint x,y cvPoint int형 2D 화소위치 CvPoint2D32f x,y cvPoint2D32f float형 2D 화소위치 CvPoint2D64f x,y cvPoint2D64f double형 2D 화소위치 아래 그림과 같이 x,y를 받는 구조체 입니다. CvPoint 의 inline 함수이며 CV_INLINE CvPoint cvPoint(int x, int y) { CvPoint p; p.x = x; p.y = y; return p; } CV_INLINE CvPoint2D32f cvPoint2D32f (double x, double y) { CvPoint p; p.x = x; p.y = y; return p; } CV_INLINE CvPoint2D64f cvPoint2D64f (double x, double y) { CvPoint p; p.

python - 리스트에서 많이 쓰는 함수 [내부링크]

range() - 정수 리스트 만들기 list_data = range(5) print(list_data) # [0, 1, 2, 3, 4] list_data2 = range(5,10) print(list_data2) # [5, 6, 7, 8, 9] range(출발 , 끝) : 출발 숫자 부터 끝 숫자 미만 까지만 만들어 냅니다. index() - 리스트 특정 요소 위치 찾기 list_data = ["1", "2", "3", "4", "5"] print(list_data.index("5")) # 4 출력 list_data2 = ["잠실", "역삼", "선릉", "수서"] print(list_data2.index("잠실")) # 0 출력 위치 값은 0 부터 시작 하기 때문에 2의 위치는 1 에 해당합니다. 리스트의 특정 값 바꾸기 list_data = ["잠실", "역삼", "선릉", "수서"] list_data[3] = "강남" print(list_data) # ["잠실", "역삼",

python - 문자열에서 많이 쓰는 함수 [내부링크]

짝수 문자 또는 홀수 문자만 추출하기 str_data = "문자열에서 많이 쓰는 함수" print(str_data[1::2]) # 짝수 문자만 출력 print(str_data[::2]) # 홀수 문자만 출력 문자열 거꾸로 추출하기 str_data = "문자열에서 많이 쓰는 함수" print(str_data[::-1]) #수함 는쓰 이많 서에열자문 출력 문자열 합치기 str_data1 = "우리는 " str_data2 = "하나다" str_data3 = str_data1 + str_data2 print(str_data3) # 우리는 하나다 출력 if ~ in - 함수 특정 문자있는지 확인하는 방법 str_data = "문자열에서 많이 쓰는 함수" if "수" in str_data : print("Pass") else : print("Fail") len() 함수 - 문자열의 길이 구하기 str_data1 = "python" str_data2 = "안녕" str_data3 = "." pr

python - bit 추출해보기 [내부링크]

비트 연산자 의미 A & B A와 B 비트간의 and 연산을 수행 A | B A와 B 비트간의 or 연산을 수행 A ^ B A와 B 비트간의 exclusive or 연산을 수행 ~A A의 모든 비트를 반전 시킴, 즉 A의 보수를 만듬 A >> n A의 모든 비트를 n 만큼 오른쪽으로 쉬프트 A << n A의 모든 비트를 n 만큼 왼쪽으로 쉬프트 비트 연산자를 사용해 bit추출하는 예시를 공유해드립니다. 하위 2bit 추출하기 data = 10 # 0b1010 print(data&0x3) # 0b10 하위 3bit 추출하기 data = 10 #0b1010 print(data&0x5) #0b010 8bit 데이터의 상위 4bit 추출하기 data = 255 #0b1111_1111 print(data>>4 & 0x0f) # 0b1111 #python #파이썬 #bit추출 #bit연산

(14) python - 예외처리 [내부링크]

try: print("start code") print(param) except: print('occurring execption') try: print("start code") print(param) except: print('occurring execption') else: print('normal mode') try: print('start code') print(param) except: print('occurring execption') finally: print('Last operating code') #파이썬 #python #try #exception

python - input 함수, 입력받기 [내부링크]

파이썬에서는 input() 함수를 사용해서 직접 키보드로 데이터를 입력 받을 수 있습니다. fscanf 와 비슷한 기능이지만 파워풀 하죠 print("값을 입력한 후 Enter를 입력해 주세요") k = input() print(k) 사용자가 타이핑을 친 후 엔터를 입력하면 작성한 구문이 print 됩니다. 입력 받은 데이터를 문자열 변수로 바로 사용 가능 하기 때문에 용이 합니다. #파이썬 #python #input #입력받기

python - 수식 연산에서 필요한 함수들 [내부링크]

나머지 구하기(%) mod_data = 10%3 print(mod_data) # 1 % 연산자를 사용해서 나머지를 구하면 됩니다. 몫과 나머지 구하기(divmod) data1, data2 = divmod(10,3) print("몫 : "+str(data1)) # 몫 : 3 print("나머지 "+str(data2)) # 나머지 : 1 divmod 함수를 사용하면 동시에 몫과 나머지를 구할 수 있습니다. 10진수를 16 진수로 변환하기 (hex) print("10 의 16 진수 값은 : + str(hex(10))) # 10의 16진수 값은 0xa hex() 함수를 사용하면 int 형 데이터를 16 진수로 표현할 수 있습니다 val = 15 print("%02X"%val) # 0F print("%02x"%val) # 0f print("%01X"%val) # F print("%01x"%val) # f 10진수를 2 진수로 변환하기 (bin) print("10 의 2 진수 값은 : + st

(13) python - 클래스 class [내부링크]

클래스 많이 들어보셧죠? 객체지향 프로그래밍에서 중요한 개념입니다. 클래스는 설계코드를 별도의 공간으로 관리해서 name space 이름 공간 이라고도 부릅니다. 클래서에서 변수 역할을 하는 클래스 맴버와 함수는 동일한 기능을 하는 클래스 메소드 class method 입니다. 클래스 맴버나 메소드는 클레서 공간 내에서 정의 되며 보통의 함수나 변수와 비슷합니다. class MyClass: var = '안녕하세요' def sayHello(self): print(self.var) obj = MyClass() # MyClass 인스턴스 객체 생성 print(obj.var) # ‘안녕하세요’가 출력됨 obj.sayHello() # ‘안녕하세요’가 출력됨 class class_name : 클래스 맴버 정의 캘르새 메소드 정의 obj.클래스 맴버 # MyClass 의 클래스 맴버 obj.클래스 매소드 # MyClass 의 클래스 메소드 클래스 맴버 와 인스턴스 맴버 class member a

(1) 영상처리 고인물 인사드립니다. [내부링크]

#영상처리 #c언어 #C++ # verilog #image #processing #python #hardware #software 안녕하세요 영상처리 고인물 입니다. 지금은 회사에서 직장인 생활을 하고 이며 대학교, 대학원, 회사에서 계속 영상처리 관련된 일을 하고 있습니다. 그동안 제가 책, 인터넷, 논문 을 통해 쌓은 지식을 나누고자 합니다. 여러분에게 많은 도움이 되었으면 좋겠습니다. 저의 블로그 타겟은 대학교에서 영상처리 수업을 듣는 학생분들 회사에서 영상처리 관련 업무를 하시는 분들 입니다. 저는 엄청 어렵고 복잡한 영상처리 관련 이론은 대학원때 까지만 했고, 막상 회사에 와서 보니 스마트폰(삼성, 아이폰) 및 차량 업체(현대, 기아, 벤츠, bmw, audi) 에서 필요하다고 하는 기능의 영상처리를 하게 되었는데 회사에서 하는 영상처리는 생각보다 항상 간단 하였습니다. 대학원때 공부했던 그런 어려운 내용의 영상처리를 다루기는 저도 기억이 안나 어려울것 같아서.. ㅎㅎ 지금

(2) 영상에 대한 기본 용어를 정리하며, Lena 라는 이미지를 아시나요? [내부링크]

아래의 lena 라는 이미지를 아시나요?? 대학교에서 영상처리를 처음 공부하는 학생들 이라면 모두들 한번 보셨을꺼에요 사실 이 이미지는 영상처리를 이용한 프로젝트나 실험 결과를 비교하기 위해 필수적인 이미지랍니다. 구글에 lena 라고 쳐보세요 사실 누드 사진.. 이랍니다... 아마 처음 영상 처리를 시작하는 남성께서 즐거움을 위해 고르신것 같은 합리적인 의심을 하게 됩니다. 아마 영상처리를 시작하는 여러분도 여러분이 좋아하는 연예인의 이미지를 먼저 다운 받고 있으실 꺼에요. 분명.. 512x512 의 pixel로 이루어진 이 lena 라는 흑백 이미지를 가지고 교수님이 과제를 내 주실꺼에요 저도 이 이미지를 보고 영상처리 강좌를 시작 하고 싶었습니다 ㅎㅎ 일단 쓰는 용어들을 몇가지 정리해야 앞으로의 강좌들의 이해에 도움이 될것 같아나름 간단하게 정리하고 가봅시다 픽셀 모니터에 보여지는 이미지는 많은 점들이 모여서 우리눈에 보여지는데, 모든 점들 하나하나를 픽셀 (pixel, 화소)

(3) 이미지의 픽셀 값을 보는 방법, 이미지를 보는 툴(tool) 추천 그림판, gimp를 사용하자 [내부링크]

영상처리는 이미지의 픽셀 값을 바꾸는 것 이라고 설명을 드렸습니다. 그러면 이 픽셀 값을 어떻게 보는지 알아야 하겠죠 그림판 그림판을 통해 쉽게 볼수있는데 색선택 도구를 클릭하고 보고자 하는 픽셀을 클릭한다. 색편집을 클릭하면 내가 선택한 색의 정보가 나옵니다. 픽셀의 값은 빨강, 녹색, 파랑(Red, green, blue RGB)로 표현도 해주고 색상 채도 명도 로도 알려줍니다 (나중에 자세히 다룰 예정) 김프 GIMP 그림판도 좋지만 gimp 라는 무료 툴이 구글에 검색을 하면 바로 뙇 다운 받을 수 있습니다. https://www.gimp.org/ GIMP GIMP - The GNU Image Manipulation Program: The Free and Open Source Image Editor www.gimp.org gimp 강력 추천합니다. 먼저 그림판과 동일하게 픽셀 값을 읽는 방법은 색상추출 도구를 클릭하고 보고자 하는 픽셀을 클릭한다. 정보창사용을 클릭하면 내가 선

(3) 나만의 이미지를 만들어 보자, PPM 파일 [내부링크]

이미지를 만들어 보신적이 있나요? 영상처리 또는 화질 개선을 하는 사람에게 내가 만든 알고리즘을 테스트 하기 위한 테스트 이미지 패턴을 만드는 것은 매우 중요합니다. 적절한 이미지를 만들어야 내가 만든 알고리즘을 검증하기 편리하기 때문이죠 이미지를 만드는 것은 생각 보다 쉽습니다. (압축이 어려운 것이에요..) PPM (Portable PixMap) 형식 가장 이해하기 쉬운 이미지를 만드는 법은 PPM 포맷입니다. 3개의 Header 정보와 pixel 정보만 입력하면 됩니다. heaer의 구성은 아래와 같습니다. 1. 매직넘버 이미 standard로 정해진 것으로서, PPM 포맷을 위해서는 P3 또는 P6를 사용해야 합니다 2. 해상도 이미지의 가로, 세로를 지정 하는 것으로 원하시는 크기를 입력 하시면 됩니다. 3. 픽셀의 max 값 8bit의 이미지를 만들것인지, 10bit 이미지를 만들 것 인지 지정하게 됩니다. 다음 이미지의 픽셀값을 지정 하는 방법은. 아래 이미지와 같이 매

(1) C++, 이미지를 PPM 파일로 만들기로 [내부링크]

PPM 파일에 대해 공부해 보았으니 C++ 로 PPM 파일을 만들어 보도록 하겠습니다. 기본적인 C 언어에 대해서는 잘 아시겠죠? ㅎㅎ 먼저 아래 그림을 한번 만들어 보겠습니다. 간단하게 fprintf 만으로 단순하게 write 하면 아래와 같이 작성 할 수 있습니다. 아래의 이미지를 for문을 사용하여 만들어 보도록 하겠습니다. 위와 같이 만들 수 있습니다. clipping 또는 threshold 여기에서 하나 집고 넘어 가고 싶은것은 이 구문 입니다. pixel 값이 255 보다 크면, pixel 값을 255로 변경하고 255 이하 라면 pixel 값을 유지 하라는 구문입니다. 이유는 pixel의 max 값을 255로 지정하였기 때문에 pixel 값에 255를 넘는 값 또는 0보다 작은 값은 있으면 안되기 때문입니다 이를 clipping 또는 threshold 라고 부릅니다. 쉽죠? ㅎㅎ 여러분 이렇게 쉽게 ppm 파일을 만들수 있습니다. 도움이 되셨을까요?? 사실 file wr

(1) python 으로 이미지를 PPM으로 만들기 [내부링크]

PPM 파일에 대해 공부해 보았으니 python 로 PPM 파일을 만들어 보도록 하겠습니다. python의 file write 기능을 통해서 먼저 아래 그림을 한번 만들어 보겠습니다. 단순한 방법으로 file write 하면 아래와 같이 작성 할 수 있습니다. 너무 쉽죠.. 코딩은 쉬울수록 좋은거에요 ㅎㅎ 직관적이어야죠 파이썬의 for문을 사용하해서 위의 이미지를 python을 작성하면 위와 같이 만들 수 있습니다. 어때요? 하실수 있겠죠? f1이라는 파일을 open 하고, f1.write 라는 명령어를 사용하여 차근차근 작성하시면 됩니다. for문 사용하실수 있겠죠?? ㅎㅎ 파일은 txt 파일로 첨부해 드립니다. 여러분 모두 화이팅 첨부파일 ppm_gen.py 파일 다운로드 #파이썬 #python #ppm파일 #파일쓰기 #filewrite #print 쓰기

(2) C언어 PPM 형식의 이미지 파일을 읽어보자 [내부링크]

앞 글에서 PPM의 대해 이해해 보고, PPM 파일로 이미지도 만들어 보았습니다. 그러면 누군가가 만들어 놓은 이미지를 읽어와야 저희가 원하는 이미지 처리를 할수 있겠죠? PPM 파일로 이미지 파일을 읽는 이유는.. 매우 쉽기 때문입니다 물론 요즘 openCV 또는 python의 라이브러리 함수에서 이미지를 읽을 수 있도록 지원을 해주지만 여러분 C 언어로 기본을 아는것이 중요합니다. 그래서 이번엔 그 기본을 해보도록 하겠습니다. 먼저 header 파일을 읽어야 합니다. PPM의 해더 형식 기억 하시죠? 기억 안나시면 아래 글 참고 바랍니다. ㅎㅎ https://blog.naver.com/jdancor/222859511112 (3) 이미지의 픽셀 값을 보는 방법, 이미지를 보는 툴(tool) 추천 그림판, gimp를 사용하자 영상처리는 이미지의 픽셀 값을 바꾸는 것 이라고 설명을 드렸습니다. 그러면 이 픽셀 값을 어떻게 보는지 ... blog.naver.com 파일을 읽기 위해서 f

(2) 파이썬 PPM 형식의 이미지 파일을 읽어보자 [내부링크]

C 언어로 조금 자세하게 PPM 이미지 파일을 읽어왔는데 너무 간한하게 python으로 읽어 오는 방법을 휙 ~ 기술해 보고자 합니다. 사실 이건 PPM 파일이 정상적이라면, 이라는 가정하에 제가 간단하게 만든 코드여서 ㅎㅎ 공유드려 봅니다. 제가 리눅스 기반으로 작업을 많이해서 args 로 선언된 코드를 가져왔는데 args[0] 으로 선언된 곳에 "image.ppm" 으로 선언해 주시면 되지요~ file 읽어서 data에 모두 저장하면 일단 거의 다 한거에요! 그리고 몇가지 옵션을 쫌 할건데 먼저 모든 데이터를 split() 라는 함수를 써서 띄어쓰기를 기준으로 한줄 한줄 데이터를 나누어 다시 저장합니다. 그리고 혹시 모를 ppm 파일에 기술된 주석들을 다 지울꺼에요 #으로 선언된 줄이 있다면 그 뒤로는 다 삭제 하게 됩니다. 만약 #앞에 데이터가 있다면 그 데이터는 살려야 겠죠? ㅎ strip() 함수와 split 함수를 써서 데이터를 조금더 정렬해 줄수 있는데 split 함수는

(4) 영상의 밝기를 조절하자, Arithmetic Operation [내부링크]

영상의 밝기를 조절 하는 법에 대해서 알아보도록 하겠습니다. 영상의 밝기(brightness) 조절은 이미지를 밝게 하거나 어둡게 하는 것을 말합니다 이미지의 픽셀값들을 읽어서 전체적으로 offset을 주어서 다같이 밝게 만들거나 어둡게 만들 수 있고 gain을 곱하여서 특정 비율로 밝게 만들거나 어둡게 만들 수 있습니다. pixel의 값은 8bit 데이터의 경우 0~255를 가지며 픽셀 값이 작을수록 어둡고, 픽셀 값이 클 수록 밝습니다. 따라서 offset이 양수일 경우 이미지를 밝게 만들고, 음수일 경우 어둡게 만드는 연산이죠 그런데 연산 후에 pixel의 최대 최소인 255보다 크거나 0보다 작을 경우는 어떻게 해야 할까요? 이럴때 이미지가 포화 (saturation) 되었다고 표현하고 합니다. 그리고 최대 최소보다 크거나 작은것을 방지하기 위해 clipping, clamping 또는 threshold 라고 하는데 이를 위해서 조건문을 달아 주어야 합니다. 위의 수식이 추가로

(3) C언어 영상의 밝기를 조절하자 [내부링크]

이전 블로그 내용 (4) 영상의 밝기를 조절하자 : 네이버 블로그 (naver.com) 을 가지고 직접 C 코딩을 해보고 공유 하고자 해요 일단 매우 간단합니다. 데이터가 2차원 배열에 저장되어 있다고 시작하겠습니다. 2차원 for문을 생성해서 height와 widht 만큼 for문을 돌며 모든 pixel 값을 읽어 가져옵니다. offset 방식 아래 수식을 그대로 거의 타이핑 해주면 됩니다. 수식을 알면, 알고리즘 코딩은 어렵지 않습니다. 수식에서는 pixel_tmp를 새로 만들었지만 software의 장점이 무엇입니까? 변수 그대로 가져와 계속 쓸수 있다는 장점이 있죠, 단점은 원본이 훼손된다는것. 로직 설계하는 사람은 아실꺼에요 이러면 안된다는것... gain 방식 동일하게 아래와 같이 타이핑 슈슈슉 하면 금방 끝납니다. ㅎㅎ 이번 글은 코드를 공유하는데 있습니다. 내용을 이미 이전 블로그에 설명을 다해서 .. ㅎㅎ 코드 다운 받아 사용하세요 첨부파일 imgae_brightne

(4) 히스토그램 평활화, Histogram equalization 명도개선 [내부링크]

히스토그램이 무엇인지 아시나요?? 히스토그램 histogram 영상의 픽셀들이 어떻게 분포되었는지를 나타내는 것 입니다. 8bit의 픽셀 데이터가 있다고 했을 때 히스토그램은 한 이미지 내에서 픽셀 값이 가지는 0~255값이 각 각의 몇개나 있는지를 정리화 하여서 어느 범의가 값이 많이 나오는지 알아보기 위한 수치입니다. 레나 이미지의 히스토그램 분포도 입니다 . 만약 위 이미지에 offet +50 연산을 통해 이미지를 밝게 만든다면 이미지의 히스토그램은 이와 같이 변하게 됩니다. offset 연산이 궁굼하시다면 이전 블로그를 확인해 주세요 ㅎ https://blog.naver.com/jdancor/222862018828 (4) 영상의 밝기를 조절하자, Arithmetic Operation 영상의 밝기를 조절 하는 법에 대해서 알아보도록 하겠습니다. 영상의 밝기(brightness) 조절은 이미지를 ... blog.naver.com 히스토그램 평활화, histogram equaliz

(4) C언어, 히스토그램 평활화, Histogram equalization 명도개선 [내부링크]

히스토그램 평활화 또는 평탄화( Histogram equalization) 의 이론을 바탕으로 C언어로 설계를 해보겠습니다. 이론은 이전에 작성한 글을 참고해 주시면 감사하겠습니다. https://blog.naver.com/jdancor/222862855598 (4) 히스토그램 평활화, Histogram equalization 명도개선 히스토그램이 무엇인지 아시나요?? 히스토그램 histogram 영상의 픽셀들이 어떻게 분포되었는지를 나타내는... blog.naver.com 먼저 영상의 히스토그램을 분석해야겠죠? 여기에서 image size는 픽셀의 크기입니다 8bit 픽셀 데이터 일때 image size는 256이고 10bit 픽셀 데이터 일때 image size는 1024가 되겠습니다. void make_histogram(double **temp,double * histogram){ int i,j,k,max; for(i=0; i<image_size; i++) { // 0 으로 초

(5) Contrast Stretching, end_in search, 컨트라스트 확장 [내부링크]

영상의 명암 대비는 밝은 화소들과 어두운 화소들의 분포에 의하여 결정 됩니다. 낮은 명암대비를 가진 영상들은 대부분 어둡거나 대부분 너무 밝아 뚜렷하지 않아 흐린 이 미지를 가지게 되됩니다. 따라서 히스토그램도 균일하게 있는 것이 아니라 일정 부분에 모여 있게 되죠 이에 반해 높은 명암 대비를 가진 영상은 밝은 값 중간 , 값과 어두운 값을 균 등하게 가지고 있고, 히스토그램역시 균일 하게 퍼져 있어 영상이 뚜렷하게 잘 보입니다. 따라서 좋은 명암 대비를 가진 이미지란 넓은 범위에 데이터 값을 가진 이미지를 말합니다. end_in search end_in search 방법은 특정부분에 명암 값이 치우치는 히스토그램을 가질 때 이 히스토그램을 스트레칭 시켜 영상 히스토그램이 모든 범위의 화소 값을 포함하도록 영상을 확장 시키는 것을 말한다 이를 위해선 일정 양의 화소를 흰색 또는 검정색 값을 잦도록 지정해야 하며 임계 값을 정하게 되는데 임계 값은 low의 임계값과 high의 임계값

(5) C언어, Contrast Stretching, end_in search, 컨트라스트 확장 [내부링크]

Contrast Stretching에 대해 C언어 설계를 공유해 보도록 하겠습니다. 이론 설명은 이전에 작성한 글을 참고해주세요~ https://blog.naver.com/jdancor/222863788607 (5) Contrast Stretching, end_in search, 컨트라스트 확장 영상의 명암 대비는 밝은 화소들과 어두운 화소들의 분포에 의하여 결정 됩니다. 낮은 명암대비를 가진 영... blog.naver.com 먼저 low threshold와 high threshold에 설정하는 값을 x1과 x2의 입력으로 받도록 입력 변수를 추가해주었습니다. pixel 의 min과 max 는 8bit pixel 기준으로 0과 255로 x0과 x3에 할당하였습니도 만약 8bit가 아닌 픽셀값의 이미지에 적용하실 때에는 이부분을 바꿔주세요 define의 velo# 값을 통해 stetching의 되는 low threshold와 high threshold 구간의 이미지 스트레칭 구간에

(6) 영상 필터링 처리 이론과 이미지 패딩 [내부링크]

핸드폰에서 아래 기능중 고대비 글자 라는 기능을 보신적 있나요? 해당 기능을 키면 고대비 글자 off --> 고대비 글자 on 해당 기능에 따라 글자가 달라지는게 보이시나요? 이런 이미지 변화는 어떻게 이루워지는지에 대해서 설명해 보도록 하겠습니다. 해당 기능에 대해서 이야기 하기 위해서는 많은 이야기를 시작해야 하는데 먼저 어려운 용어이지만 convolution 이라는 용어에 대해 공부 해야 합니다. Convolution 위키피디아에 매우 복잡하게 설명되어 있는데 https://en.wikipedia.org/wiki/Convolution Convolution - Wikipedia Convolution From Wikipedia, the free encyclopedia For other uses, see Convolution (disambiguation) . Visual comparison of convolution, cross-correlation , and autocorrelat

(7) 영상 필터링 처리 엠보싱 샤프닝 블러링 미디언 필터 설명 [내부링크]

영상 또는 이미지를 필터를 통해서 다양하게 변화하도록 해보려고 합니다. 필터를 통과한다 라는 것은 컨볼루션(convolution) 이라고 해서 아래 글을 확인해 주세요 설명해 보려고 나름 노력해 보았습니다. https://blog.naver.com/jdancor/222865940949 (6) 영상 필터링 처리 이론과 이미지 패딩 핸드폰에서 아래 기능중 고대비 글자 라는 기능을 보신적 있나요? 해당 기능을 키면 해당 기능에 따라 글자... blog.naver.com 엠보싱 Embossing 먼저 영상에 엠보싱 이라는 처리를 해보려고 합니다. 엠보싱이 뭔지 결과 이미지 부터 보고 시작하시죠 원본 엠보싱 처리 엠보싱이라는 단어는 휴지에서 들어보셨을 꺼에요 푹신 푹신한 느낌을 주는 단어라는거 기억나시죠? 단어 뜻은 오목블록한 것 입니다. 영사처리된 결과를 보시면 알겠지만 음각으로 이미지가 표현되죠? 저런식으로 이미지를 바꿀려면 도대체 어떤 필터를 써야 될까요? 엠보싱 필터 엠보싱 필터는 위

(6) C언어, 영상 필터링 처리 엠보싱 샤프닝 블러링 미디언 필터 [내부링크]

영상 처리, 이미지 처리의 필터처리에 대해 간단한 예제들을 해보고자 합니다 엠보싱 샤프닝 블러링 미디언 처리에 대해서 하나하나 코드를 공유하면서 알고리즘을 다시 보고자 합니다. 알고리즘에 대한 일차적인 자세한 설명은 이전글에 한번 작성하였으니 한번 더 보시는 것도 좋을 것 같습니다. https://blog.naver.com/jdancor/222866250550 아래 순서대로 이미지를 처리 해보고자 합니다. 이미지를 double 형으로 바꾸는 것은 연산에 필요한 소숫점 을 최대한 살리기 위함입니다. 이미치 처리 flow chart 먼저 필터를 처리하기 위해서 이전에 이미지 패딩을 수행해 주어야 합니다. https://blog.naver.com/jdancor/222865940949 (6) 영상 필터링 처리 이론과 이미지 패딩 핸드폰에서 아래 기능중 고대비 글자 라는 기능을 보신적 있나요? 해당 기능을 키면 해당 기능에 따라 글자... blog.naver.com 이미지 가장자리에 있는 픽

(8) 엣지 검출, 유사연산자 차연산자 1차 미분 2차 미분 [내부링크]

영상 또는 이미지에서 엣지만 찾을 수 있다면 정말 다양한 작업을 할 수 있습니다 이미지 센서 등에서도 이미지 자체를 사용하다보면 영상의 량도 많고 처리할때 어려운게 많습니다. 보통 전처리로 엣지만을 찾은 작업을 많이 수행하기도 합니다. 어떻게 엣지를 찾는지 하나하나 알아보고자 합니다 유사 연산자 차 연산자 1차 미분 2차 미분 유사 연산자 유사 연산자 가장 단순하고 빠른 에지 검출기방법으로, 일련의 화소들을 감산한 값에서 최대값을 찾는것 입니다. 중심 픽셀로부터 주변의 8픽셀들을 각각 감산하고 출력은 그 차이의 절대값 중에서 가장 큰 값으로 대체 하는 방법입니다. 샤프니스 필터를 할때 기억나시나요? 주변 평균과 얼마나 차이가 나는지를 구한 다음 그 값 만큼 offset으로 적용을 하였었죠? 차 연산자의 방식도 주변과 얼마만큼 차이가 나는지 구하는 방법입니다. 모두 고주파 방식의 필터를 취하는 방법입니다. 원본 유사 연산자 방식 차연산자 차연산 설명 유사 연산자 방식을 수행하기 위해

(7) C언어, 엣지 검출, 유사연산자 차연산자 1차 미분 2차 미분 [내부링크]

이론은 이전 글에서 열심히 설명했는데 한번 보고 코드를 보시면 쉽게 따라 오실수 있습니다 ~~ https://blog.naver.com/jdancor/222867595236 (8) 엣지 검출, 유사연산자 차연산자 1차 미분 2차 미분 영상 또는 이미지에서 엣지만 찾을 수 있다면 정말 다양한 작업을 할 수 있습니다 이미지 센서 등에서도 이... blog.naver.com 유사 연산자 // 유사연산자 마스크 void homo(double **in,double **out){ int i,j,u,v; double value,diff; double **mask; mask= mem_alloc_double(3,3); for(i=0;i<height;i++) for(j=0;j<width;j++){ for(u=0;u<3;u++) for(v=0;v<3;v++){ mask[u][v]=in[i+u][j+v]; } diff= abs(mask[1][1]-mask[0][0]); value=diff; diff= a

(9)이미지 기하학 처리 (사이즈 조절, scale) [내부링크]

기하학 처리 라고 하면 어렵게 느껴지실수 있지만 이미 여러분들은 많이 하고 있으십니다. 기하학 처리 - 사이즈 조절 (scale), 회전 (transfrom), 이동 (transfrom) 입니다. 먼저 사이즈 조절(Image scale)에 대해 알아 보도록 하겠습니다. 핸드폰을 하다 보면 손가락으로 이미지를 축소 확대 할때가 많이 있죠? 이 모든게 이미지 사이즈 조절, 즉 image scaling 입니다. 카메라로 사진을 찍어서 또는 영상작업을 통해서 만들어진 원본 이미지가 만들지게 됩니다. 우리는 특정 목적을 가지고 원본 이미지를 훼손하게 되는데 용량이 너무 크기 때문에 줄이고 싶어서 사이지를 작게 만들 때가 있고, 잘 안보여서 확대 할때가 있습니다. 이미지를 확대할 때는 없던 픽셀 개수가 늘어나는 것이기 때문에 없던 픽셀을 새로 만들어야 하고, 이미지를 축소 할 때는 픽셀 개수를 줄여야 하기 때문에 기존의 이미지 정보가 없어지게 됩니다. 새로 만들어지는 픽셀 또는 없어지는 픽셀을

(8) C언어, 이미지 기하학 처리 (사이즈 조절, scale) [내부링크]

C언어로 설계하는 시간이 돌아왔습니다. 이전 영상처리 수업에서 설명한 https://blog.naver.com/jdancor/222868632839 (9)이미지 기하학 처리 (사이즈 조절, scale) 기하학 처리 라고 하면 어렵게 느껴지실수 있지만 이미 여러분들은 많이 하고 있으십니다. 기하학 처리 - ... blog.naver.com 1. nearest interpolation (가장인접 화소 보간법) void nearest_copy(unsigned char **in,unsigned char **out,int height_chscale_factore,int width_rth_chscale_factore,float scale_factor){ int x,y; for(y=0; y<height_chscale_factore; y++) { for(x=0; x<width_rth_chscale_factore; x++) { out[y][x]=in[int(y/scale_factor+0.5)][in

(10) 이미지 기하학 처리 - 회전 rotation [내부링크]

일상속에서 많이 접하고 있으신 이미지 기하학 처리의 회전을 알아보는 시간입니다. 기하학 처리 - 사이즈 조절 (scale), 회전 (transfrom), 이동 (transfrom) 입니다. 설정한 각도에 따라 이미지를 회전 시키는 것으로서 수식에 의해 픽셀의 값을 이동 시키면 됩니다. 만약 없는 픽셀 이라면 이미지 interpolation 방법으로 픽셀을 새로만들어야 하죠 이미지 회전 이미지 회전 수식 이때 픽셀 이동시에 없는 픽셀을 만들어야 할때는 이전 블로그 글을 공부해 주세요 어렵지 않습니다. ㅎㅎ https://blog.naver.com/jdancor/222868632839 (9)이미지 기하학 처리 (사이즈 조절, scale) 기하학 처리 라고 하면 어렵게 느껴지실수 있지만 이미 여러분들은 많이 하고 있으십니다. 기하학 처리 - ... blog.naver.com 설계로 아래 interpolation을 이용한 rotation들을 각각 공유해 드리겠습니다 . 1. Nearest

(9) C언어, 이미지 기하학 처리 - 회전 rotation [내부링크]

C언어로 설계하는 시간이 돌아왔습니다. 이전 영상처리 수업에서 설명한 이미지 기하학처리의 회전 rotation 를 설계해 보는 시간이 왔습니다. https://blog.naver.com/jdancor/222869630940 (10) 이미지 기하학 처리 - 회전 rotation 일상속에서 많이 접하고 있으신 이미지 기하학 처리의 회전을 알아보는 시간입니다. 기하학 처리 - 사이즈 ... blog.naver.com 회전 수식 가장 중요한 것은 위의 수식입니다. 다시 한번 기억해 주세요 ~ 회전 결과물 Center를 기준으로 회전 하는 코드는 추가로 또 올리겠습니다. 1. Nearest interpolation void rotation1(unsigned char** in, unsigned char** out, double scale_factor, int height_r, int width_r) { int i, j; double X_src, Y_src; double X, Y; int X_d

(10, 추가) 이미지 기하학 처리 - 회전 rotation [내부링크]

이미지 회전에 대해서 코드를 추가하겠습니다. 이전에는 (0,0) 픽셀 기준으로 회전을 하였지만 https://blog.naver.com/jdancor/222869630940 (10) 이미지 기하학 처리 - 회전 rotation 일상속에서 많이 접하고 있으신 이미지 기하학 처리의 회전을 알아보는 시간입니다. 기하학 처리 - 사이즈 ... blog.naver.com Center를 기준으로 회전하는 코드입니다. 회전 결과물 1. Nearest interpolation void center_rotation1(unsigned char** in, unsigned char** out, double scale_factor, int height_r, int width_r) { int i, j; double X_src, Y_src; double X, Y; int X_d, Y_d; double cen_X = (int)width_r/2; double cen_Y = (int)height_r/2; for(i

(11) 영상 패터닝, 디더링, 오류확산 [내부링크]

영상처리에서 패터닝, 디어링, 오류확산 이라고 들어 보신적 있나요?? 비슷한 내용이지만 살짝 살짝 다른 알고리즘이니 하나하나 알아 보도록 하겠습니다. 그전에 먼저 디지털 간색 이라고 들어 보셨나요? 디지털 간색 (Digital Halftoning) 디지털 간색 이란 0과 255의 gray 만을 사용하여 모든 화소의 밝기 값을 표현 하는 방법입니다. 사람의 눈의 시각적인 특성을 이용하는 기법으로 일종의 착지 현상을 이용하는 개념이고 디지털 간색 을 사용해서 패터닝, 디더링, 오류확산 이라는 알고리즘을 만들게 됩니다. 패터닝 (Patterning) 패턴 이라는 단어는 많이 들어보셨을 텐데, 스마트폰 패턴 암호 라고 보통 패턴이라는 단어를 사용하죠 하지만 영상처리에서 패터닝은 특정 픽셀을 나타낼 때, 특정 패턴으로 대체해서 표현하는것 입니다. 1개의 픽셀을 4x4의 16개의 픽셀로 확장 시켜서 각 픽셀에 밝기 값에 따라서 0과 255로 이루워진 패턴 메트릭스 (Pattern Matrix)

(11)C언어 -영상 패터닝, 디더링, 오류확산 [내부링크]

패터닝, 디어링, 오류확산에 대해서 C언어 코드를 공유하는 시간이 돌아왔습니다. 이론은 이전 글에서 다시 한번 확인 부탁드립니다 ㅎㅎ https://blog.naver.com/jdancor/222873211592 (11) 영상 패터닝, 디더링, 오류확산 영상처리에서 패터닝, 디어링, 오류확산 이라고 들어 보신적 있나요?? 비슷한 내용이지만 살짝 살짝 다른 ... blog.naver.com 패터닝 (Patterning) void pattern(unsigned char **in,unsigned char **out){ int i,j,u,v; unsigned char mask[4][4]; unsigned char mask1[4][4] = { {0,0,0,0},{0,0,0,0},{0,0,0,0},{0,0,0,0} }; unsigned char mask2[4][4] = { {0,0,0,0},{0,0,0,0},{0,0,0,0},{0,255,0,0} }; unsigned char mask3[4][

(12) 영상압축의 기본 DCT와 IDCT [내부링크]

여러분이 보시는 이미지들은 모르셨을 수도 있겠지만 모두 압축과 비압축 과정을 거쳐 저희 에게 오게 됩니다. 원본 이미지 (Raw image)는 사실 매우 큰 데이터를 가지고 있습니다. 성능 좋은 디지털 카메라로 이미지를 16bit의 픽셀 데이터를 가지고 있으며, 해상도 역시 매우 크죠, 이런 이미지를 저희가 가지고 있는 하드웨어 장치에 저장을 하게 되면 용량의 압박이 매우 크고, 이런 용량이 큰 이미지를 통신을 통해 주고 받으려면, 통신 데이터 사용도 매우 크기 때문에 이미지를 압축 하고 비압축하는 과정이 중요합니다. 이때 이미지의 손실(loss) 여부도 매우 중요합니다 비손실을 위해서는 압축률이 매우 떨어질 수 밖에 없으며 손실을 일어나도 압축률이 매우 높다면 손실을 감안 할수 있겠죠 이때 이미지가 손실 되더라도, 사람 눈에 티가 나는지 여부가 trade off 가 됩니다. 이미지 JPEG 압축 과정중 첫번째인 DCT와 IDCT 오늘 알아 보도록 하겠습니다 . DCT (Discre

(12) C언어, DCT와 IDCT [내부링크]

DCT (Discrete Cosine Transform)/ IDCT (Inverse Discrete Cosine Transform)의 C언어를 공유해 보도록 하겠습니다. 설명과 수식은 아래 글에 작성하였습니다. https://blog.naver.com/jdancor/222874151244 (12) 영상압축의 기본 DCT와 IDCT 여러분이 보시는 이미지들은 모르셨을 수도 있겠지만 모두 압축과 비압축 과정을 거쳐 저희 에게 오게 됩니... blog.naver.com DCT 수식 #define M 8 #define N 8 #define PI 3.14592 #define COEFF 0.70710 void dct(double *inf,double*outf) { int x,y,i,j,u,v; double cv,cu; double accum=0.0; for(i=0;i<LENA_SIZE/M;i++) for(j=0;j<LENA_SIZE/N;j++){ for(u=0;u<M;u++) for(v=0;v

(13) 영상압축의 기본 DCT와 IDCT 처리후 양자화 Quantization [내부링크]

이전 글에서 DCT와 IDCT를 알아 보았습니다 DCT라는 변환 식을 통해서 이미지 데이터를 주파수 데이터로 전환을 이루었습니다. 이번 글에서는 DCT를 통과한 데이터에 양자화 라는 작업을 해보도록 하겠습니다. DCT와 IDCT는 아래 글을 통해 확인해 주시면 감사하겠습니다. https://blog.naver.com/jdancor/222874151244 (12) 영상압축의 기본 DCT와 IDCT 여러분이 보시는 이미지들은 모르셨을 수도 있겠지만 모두 압축과 비압축 과정을 거쳐 저희 에게 오게 됩니... blog.naver.com 양자화를 통해 압축을 진행하게 되는데 DCT의 결과에서 평균적으로 일반 이미지들은 저주파에 데이터가 모여 있고, 고주파에는 데이터가 상대적으로 적습니다. 저주파는 보통 면과 같이 픽셀 값의 변화가 적은 부분들을 의미하고 고주파는 선 같이 픽셀 값의 변화가 큰 부분들을 의미 합니다 따라서 저주파 부분의 데이터가 많이 모여 있게 됩니다. DCT 이후 이미지한 결

(13) C언어, 영상압축의 기본 DCT와 IDCT 처리후 양자화 Quantization [내부링크]

이전 글에서 설명하였던 양자화에 대해서 C언어 코드를 공유해보도록 하겠습니다 이론은 이전 글을 확인해 주세요 https://blog.naver.com/jdancor/222874756058 (13) 영상압축의 기본 DCT와 IDCT 처리후 양자화 Quantization 이전 글에서 DCT와 IDCT를 알아 보았습니다 DCT라는 변환 식을 통해서 이미지 데이터를 주파수 ... blog.naver.com 양자화는 이전에 공유한 수식을 보시면 알겠지만 매우 간단합니다. 양자화 방법 Encoded 양자화 Decoded 양자화 Encoded 양자화 void dct_q(double *data){ int i,j,x,y,u,v; for(i=0;i<M*M;i++) for(j=0;j<N*N;j++){ for(u=0;u<M;u++) for(v=0;v<N;v++){ *(data+(i*M)*LENA_SIZE+(j*N)+u*LENA_SIZE+v) /= table[u][v] ; *(data+(i*M)*LENA_

(14) 영상압축 공간 예측 - Spatial Prediction [내부링크]

이전 블로그에서 DCT와 IDCT로 이미지 데이터를 주파수 영역으로 변환 하였고, 주파수 영역으로 변환된 데이터를 양자화 라를 방법을 통해 압축 해보았습니다. 기억이 안난다면 다시 이전 글을 한번 확인해 주세요~ https://blog.naver.com/jdancor/222874756058 (13) 영상압축의 기본 DCT와 IDCT 처리후 양자화 Quantization 이전 글에서 DCT와 IDCT를 알아 보았습니다 DCT라는 변환 식을 통해서 이미지 데이터를 주파수 ... blog.naver.com 이번 시간에는 이미지 데이터의 공간예측 이란 알고리즘을 사용해서 압축 하는 방법에 대해 설명해 보고자 합니다. 그전에 먼저 예측 Prediction이 무엇인지 알아 보도록 하겠습니다 . Prediction 예제 그림 1 X1~X5의 데이터를 공간예측이란 예제를 통해 데이터 량을 줄어 보도록 하겠습니다. 예제 그림 2 X1~X2의 데이터를 로 값을 재 정렬 을 하고 나면 e는 error

(14-1) C언어, 영상압축 공간 예측 - Spatial Prediction Encoder [내부링크]

이전 글에서 설명하였던 공간 예측에 대해서 C언어 코드를 공유해보도록 하겠습니다 혹시 이론을 안보고 이글을 먼저 보셨다면 이론은 아래 글에 설명하였습니다 https://blog.naver.com/jdancor/222874763158 (13) C언어, 영상압축의 기본 DCT와 IDCT 처리후 양자화 Quantization 이전 글에서 설명하였던 양자화에 대해서 C언어 코드를 공유해보도록 하겠습니다 이론은 이전 글을 확인해... blog.naver.com 블록도 기억하시나요? Encoder의 순서도 위의 순서도를 보면서 Encoder의 코드를 보면 빨리 이해가 되실것 같습니다. Encoder TOP void encoder(double *data, double *in,double *out,double *out_en,double *label){ int i,j,u,v,k; double *mask_o,*mask_b,*mask_p,*mask_e,*mask_re; double * temp, *ma

(14-2) C언어, 영상압축 공간 예측 - Spatial Prediction Decoder [내부링크]

이전 글에서 설명하였던 공간 예측에 대해서 C언어 코드를 공유해보도록 하겠습니다 Encoder 는 이전 글에서 따로 설명 하였고 이번에는 Decoder 에 대해서 다뤄보겠습니다. 이론은 이전 글을 확인해 주세요 https://blog.naver.com/jdancor/222875614355 (14) 영상압축 공간 예측 - Spatial Prediction 이전 블로그에서 DCT와 IDCT로 이미지 데이터를 주파수 영역으로 변환 하였고, 주파수 영역으로 변... blog.naver.com Decoder의 설계는 Encoder의 한 부분을 가져오는 것과 같습니다 Encoding을 할때 미리 Decoding의 결과를 예측하여 가장 lossy가 적은 방법으로 Encoding을 하기 때문에 Encoder에 Decoder 의 설계가 다 들어 있기 때문입니다. Encoder의 순서도 Encoder에서 전송되는 데이터는 빨간색으로 표시한 block의 데이터를 decoder에 전송합니다. Decode

(14 - 추가) 영상압축 공간 예측 - Spatial Prediction [내부링크]

공간 예측에 대해서 설명을 추가해보고자 합니다. 한글로 일단 설명한 것은 아래 글에 있습니다 한번 보고 이 글을 읽으시면 이해에 많이 도움 되실것 같습니다. https://blog.naver.com/jdancor/222875614355 (14) 영상압축 공간 예측 - Spatial Prediction 이전 블로그에서 DCT와 IDCT로 이미지 데이터를 주파수 영역으로 변환 하였고, 주파수 영역으로 변... blog.naver.com What is Spatial Prediction? already we process the file through discrete cosine transform function, quantization function. It's goal is data compress. Spatial prediction also compress technique. spatial prediction is compare the around pixel and process so

(15) 영상압축 시공간 예측 - Spatio Temporal Prediction [내부링크]

이전 글에서는 Spatial Prediction 에 대해서 설명하였는데 이번 글에서는 Temporal Prediction 기능을 추가하여 설명해 보도록 하겠습니다 공간 예측은 하나의 이미지에서만 예측을 하여 압축 하는 방법이지만 시간 예측은 이전 이미지와 비교해서 예측을 하는 압축 방법입니다. 공간 + 시간 예측을 동시에 하는 것을 시공간 예측이라고 하며 Spatio - Temporal Prediction 이라고 영어로 씁니다 공간예측이 궁굼하신 분은 이전 글을 확인해 주세요 ~ https://blog.naver.com/jdancor/222875614355 (14) 영상압축 공간 예측 - Spatial Prediction 이전 블로그에서 DCT와 IDCT로 이미지 데이터를 주파수 영역으로 변환 하였고, 주파수 영역으로 변... blog.naver.com Spatio - Temporal Prediction 아래 그림에서 보면 알수 있듯이 이전 시간의 이미지 t-1를 이용하여 현재 이미

simulation waveform dump 방법 [내부링크]

Simulation의 결과인 waveform을 보기 위해서는 waveform을 dump를 받아야하고 dump 받는 방법과 형식은 tool에 따라 다릅니다. verdi와 simvision에 대해서 설명해 보고자 합니다. Verdi 와 fsdb 포멧 initial begin $fsdbDumpfile("waveform.fsdb"); $fsdbDumpvars(0, tb); $fsdbDumpvars(0, tb.a,"+mda"); $fsdbDumpvars(1, tb.b); $fsdbDumpvars(0, tb.b.c); end fsdbDumpfile : dump 하고자 하는 파일 이름을 적고 파일형식을 지정합니다. fsdbDumpvars : dump 하고자 하는 모듈의 이름을 지정합니다. 앞에 숫자는 dump의 옵션입니다. 숫자에 따른 dump 옵션 0 : 현재 모듈과 하위에 선언된 모듈 신호를 dump 1 : 현재 모듈의 신호만 dump한다 2 : 현재 모듈과 바로 아래의 instanciati

(16) 색공간과 색공간 변환 YCBCR, YUV [내부링크]

RGB 가 Red Green Blue 라는 것을 저의 짝궁이 모르더라고요 그래서 색공간이 무엇인지에 대해 설명해 보도록 하겠습니다. RGB 색좌표계 빨강 초록 파랑의 조합으로 다양한 색을 만들 수 있고 이를 색 좌표계라고 해서 각 좌표에 따라 어떤 색상을 나타내는지 알 수 있습니다 . 색공간 (color space) 라고 하며 RGB, YCBCR, YUV, HSV, HSI 등이 있습니다. 이미지를 RGB(Red, Green, Blue)로 표현 하는 방법이 듯이 다른 색공간 YCBCR, YUV, HSV, HSI 는 색을 표현하는 하나의 수단입니다. 색공간들은 특정 수식을 통해 변환이 가능하며 색상 공간 변환(Convert Color) 이라고 합니다. 아래 수식과 같이 다양한 방식의 수식이 있으며 standard로 다양한 수식이 존재합니다. 계수가 살짝 다른 정도이며 용도에 따라 다르다고 생각하시면 됩니다. YCBCR/ YUV YUV는 아날로그 TV를 위해 사용했던 수식이라면 YCBCR

(16)C언어, 색공간과 색공간 변환 YCBCR, YUV [내부링크]

RGB 에서 YCBCR 또는 YUV로 바꾸는 수식을 C언어로 설계해서 공유하는 시간이 왔습니다. ~~~~ 이론과 수식은 아래글을 한번더 참고해 주세요 ~ https://blog.naver.com/jdancor/222881097312 색공간과 색공간 변환 YCBCR, YUV RGB 가 Red Green Blue 라는 것을 저의 짝궁이 모르더라고요 그래서 색공간이 무엇인지에 대해 설명... blog.naver.com 다양한 수식이 있어서 다양하게 구현해 보았는데 주석 풀고 사양하시면 될것 같아요 ~ RGB -> YCBCR void rgb2ycbcr(int ** in_R,int ** in_G,int ** in_B,int ** out_Y,int ** out_CB,int ** out_CR,int hei, int wid){ //double max_cb=0, max_cr=0; //double min_cb=0, min_cr=0; double Y, CB, CR; int r,g,b; for(int i

(17) 색공간과 색공간 변환 HSV, HSI, HSL [내부링크]

HSV/ HSL/ HSI YCbCr과 다르게 컬러를 조금더 직관적으로 표현하는 색공간입니다 YCBCR은 Cb와 Cr로 색을 선택하면서 색이라는 표현 사람눈에 직관적으로 보기 어려웠다면 HSV/ HSL/ HSI는 아래 이미지에서 보는것과 같이 조금더 보기 편하실 겁니다. HSL과 HSV의 컬러 H (Hue) : 색을 표현 0~360 의 값을 가지며 0 - red, 60 - yellow, 120 - Green, 240 - blue 와 같이 표현이 됩니다. 각도로 원으로된 공간에서 색상을 선택하게 됩니다. RGB to Hue S (Saturation) : 색상의 정도 입니다. 0 - 흰색 으로 색이 없음 , 255 - 색이 있음 RGB to Value(HSV) RGB to Value(HSL) RGB to Value(HSI) V (Value) / L (Luminance) / I(Intensity) : 색상의 밝기입니다. 0 - black, 255 - white 밝기를 나타내는 값은 아래의

(17) C언어, 색공간과 색공간 변환 HSV, HSI, HS [내부링크]

RGB 에서 HSV바꾸는 수식을 C언어로 설계해서 공유하는 시간이 왔습니다. ~~~~ 이론과 수식은 아래글을 한번더 참고해 주세요 ~ https://blog.naver.com/jdancor/222881600118 색공간과 색공간 변환 HSV, HSI, HSL HSV/ HSL/ HSI YCbCr과 다르게 컬러를 조금더 직관적으로 표현하는 색공간입니다 YCBCR은... blog.naver.com RGB to HSV void rgb2hsv(int ** in_R,int ** in_G,int ** in_B,int ** out_H,int ** out_S,int ** out_V,int hei, int wid){ double r,g,b; double max, max_tmp; double min, min_tmp; double h; for(int i=0; i<hei;i++) for(int j=0; j<wid;j++){ r = double(in_R[i][j]); g = double(in_G[i][j])

parameter, localparam, define [내부링크]

verilog 설계에서 parameter, localparam, define를 사용할 때가 있습니다 예제를 공유해 드리고자 합니다. module에서의 parmeter는 잘 사용하시면 코드의 reusablilty를 크게 늘릴수 있으니 참고 하시면 좋을 것 같습니다. localparam localparma <변수> = <값>; 사용시에는 변수값 그대로 사용해 주시면 됩니다. module tb(); localparam TEST1 = 1; localparam TEST2 = "image.ppm"; localparam TEST3 = 5'd8; test u_test ( .AA (TEST1), .BB (TEST2), .CC (TEST3) ); parameter parameter <변수> = <값>; 사용시에는 변수값 그대로 사용해 주시면 됩니다. module tb(); parameter TEST1 = 1; parameter TEST2 = "image.ppm"; parameter TEST3 = 5'

(3) ppm 이미지를 읽고 hex로 변환 하기 [내부링크]

ppm 데이터를 읽어서 hex 파일로 write 하는 코드를 설계해 보려고 합니다. 영상쪽으로 hardware design을 하시는 분들은 hex 로 이미지 데이터 를 밀어 넣어야 하는 환경을 꾸미는 경우가 많습니다 자기 만의 테스트 이미지를 만들고 테스트 이미지를 verilog function에서 제공해 주는 readmemh 라는 기능을 사용해서 loading을 하는 과정을 거치는데 그 때를 위해 설계한 ppm2hex 코드입니다. #!/usr/bin/python import re import sys args = sys.argv[1:] f= open(args[0],"r") data = f.read() f.close() ##========================================= ## find "#" delete and that line #data = data.split("\n") #data2 = "" #for i in range(len(data)): # d = st

이미지 데이터를 전송하는 간단한 GPU 설계 [내부링크]

Verilog 를 사용해서 이미지 데이터를 전송하는 GPU를 설계하고자 합니다. Vsync, Hsync, DE 신호를 만들고, RGB 데이터를 이미지를 통해서 읽어와 전송하는 설계입니다. module tb(); //========================================// // SYNC signal setting // //========================================// `define VRES 67 `define HRES 100 `define VFP 4 `define VACT 1 `define VBP 5 `define HFP 8 `define HACT 1 `define HBP 9 `define DATA_BIT 8 `define DATA_PORT 1 `define CLK_PERIOD 10 reg clk; initial begin clk = 0; forever #(`CLK_PERIOD/2) clk = ~clk; end reg rstn; ini

verilog로 이미지를 ppm 포멧으로 dump 받기 [내부링크]

verilog로 이미지를 ppm 포멧으로 dump 받는 코드를 공유해드리겠습니다. 이전 글에서 GPU를 통해 만든 이미지 신호를 다시 PPM 형식으로 dump 받기 위한 코드입니다. https://blog.naver.com/jdancor/222887323314 이미지 데이터를 전송하는 간단한 GPU 설계 Verilog 를 사용해서 이미지 데이터를 전송하는 GPU를 설계하고자 합니다. Vsync, Hsync, DE 신호... blog.naver.com GPU 신호의 데이터 vsync, hsync, de, RGB 데이터를 특정 연산을 통해서 값이 바뀌었다면 다시 이미지로 dump 받아서 확인해야겠죠??? 어렶지 않습니다. python과 C 언어로 ppm 파일을 만드는 방법을 공유해 드렸는데 이번에는 verilog 로 구현하는 코드만 바뀌었을 뿐입니다. 다른점은 sync 신호를 사용한다는 점 입니다. Testbench `timescale 1ns/1ps module tb(); //=====

(4) python - if문, for문, while 문 [내부링크]

코드를 작성하다 보면 조건에 따라 다른 연산 또는 일을 수행해야 합니다. if 문 조건이 참 또는 거짓 여부에 따라 처리 하는 경우 입니다. if문은 참 또는 거짓을 판단하여 코드를 수행하는 조건문 입니다. x = 1 y = 2 if x >= y: print('x가 y보다 크거나 같습니다.') else: print('x가 y보다 작습니다.') 여러개의 조건을 순차적으로 체크하기 위해서는 elif 문을 사용합니다. x = 1 y = 2 if x > y: print('x가 y보다 크거나 같습니다.') elif x < y: print('x가 y보다 작습니다.') else: print('x와 y가 같습니다.') for 문 for 문때 특정 범위의 자료나 객체에 대해 순차적으로 추출하여 특정 코드를 반복 수행하기 위해 많이 사용합니다. scope = [1, 2, 3, 4, 5] for x in scope: print(x) 1에서 5를 순차적으로 출력하고자 할때 예제 for문을 이용해 반복 작

(13) python - 파일 열고 닫기 (file open, close) [내부링크]

파이썬은 손쉽게 파일을 열고 닫을 수 있는 기능을 지원합니다. 텍스느 파일과 바이너리(binary)파일을 둘다 열고 닫고 수정 할 수 있습니다. open과 close 함수를 사용하면 되며 file1 = open("temp.txt","r") file2 = open("iu.ppm","r") file1.close() file2.close() r 텍스트 모드로 읽기 w 텍스트 모드로 쓰기 a 텍스트 모드로 파일 마지막에 추가 하기 rb 바이너리 모드로 읽기 wb 바이너리 모드로 쓰기 ab 바이너리 모드로 파일 마지막에 추가하기 #python #파이썬 #파일 #열기 #닫기 #읽기 #쓰기 #open #close

(11) python - 지역 변수와 전역 변수 [내부링크]

지역변수는 함수 내에서만 유요한 변수 이며 verilog에서 local param 이라고 이해하면 됩니다. 전역변수는 코드 전반에 걸쳐 유요한 변수로 parameter 또는 define 정도로 이해하면 쉽습니다. 지역 변수는 함수 내부에서 선언하게 되며 전역 변수는 TOP에서 선언하게 됩니다. param = 10 strdata = '전역변수' def func1(): strdata = '지역변수' print(strdata) def func2(param): param = 1 def func3(): global param param = 50 func1() # ‘지역변수’가 출력됨 print(strdata) # ‘전역변수’가 출력됨 print(param) # 10이 출력됨 func2(param) print(param) # 10이 출력됨 func3() print(param) # 50이 출력됨 #파이썬 #python #지역변수 #전역변수 #param

(12) python - 모듈 module [내부링크]

이미 설계한 코드를 다음 프로젝트때 또 사용할 수 있다면 매우 편리하겠죠? 코드를 작성할 때 모듈화 해서 다른 프로젝트에 다양하게 사용할 수 있도록 하는 방법에 대해 다뤄보겠습니다. 모듈이란 하나의 설계 코드들을 모두 모듈이라고 부를수 있으며 이렇게 많이 만들어 놓은 모듈을을 가져다 사용하고자 할때 모듈을 임포트(import) 하여 사용하게 됩니다. import time print('5초간 프로그램을 정지합니다.') time.sleep(5) print('5초가 지나갔습니다.') time 관련된 함수를 사용하기 위해 import time 이라는 문구를 사용하게 됩니다. calculate.py def add_fun(in1, in2) return in1+in2 def sub_fun(in1, in2) tetrun in1-in2 calculate.py 라는 파이썬 에 함수를 두개 만들어 놓았습니다. 위 함수를 사용하고자 할때 import calculate temp1 = calculate.ad

(6) python - 대입 연산자, 사칙 연산자, 연산자 축약, 관계 연산자 [내부링크]

대입 연산자 변수에 값을 대입하는데 사용하는 기호는 =(등호) 압나더 a = 1 b = 2 ret = a+b print('a와 b를 더한 값은 ', end='') print(ret, end='') print(' 입니다') =과 ==의 차이점을 아시나요? = 는 (ex, a= b) a 에 b를 대입하는 것을 의미하고 ==는 (ex, a==b) a와 b가 가다는 것을 의미 합니다. 사칙 연산자 a = 2 b = 4 ret1 = a+b # ret1 = 2+4= 6 ret2 = a - b # ret2 = 2-4= -2 ret3 = a*b # ret3 = 2*4= 8 ret4 = a/b # ret4 = 2/4= 0.5 ret5 = a**b # ret5 = 2**4= 16 ret6 = a+a*b/a # ret6 = 2+2*4/2= 6 ret7 = (a+b)*(a-b) # ret7 = (2+2)*(2-4)= -12 ret8 = a*b**a # ret8 = 2*4**2=32 사칙 연산은 덧셈, 뺼

(7) python - 비트 연산자 bit operation [내부링크]

하드웨어 엔지니어 라면 비트 연산을 하는 경우가 많습니다. 저는 이게 필수 입니다 비트연산! 비트(bit)는 0 또는 1로 표현하는 단위입니다 8비트는 1 바이트(byte) 로 0~255 까지 표현 가능합니다. 비트 연산은 매우 기본적이고 근본적인 연산이지만 생소하죠 verilog를 사용하는 사람들에게는 파이썬을 사용할때 가장 많이 사용하게 되는 연산자들 입니다. bit1 = 0x61 bit2 = 0x62 print(hex(bit1 & bit2)) # 0x60이 출력됨 print(hex(bit1 | bit2)) # 0x63이 출력됨 print(hex(bit1 ^ bit2)) # 0x3 이 출력됨 print(hex(bit1 >> 1)) # 0x30이 출력됨 print(hex(bit1 << 2)) # 0x184가 출력됨 비트 연산자 의미 A & B A와 B 비트간의 and 연산을 수행 A | B A와 B 비트간의 or 연산을 수행 A ^ B A와 B 비트간의 exclusive or 연산

(7) python - 시퀀스 자료형 인덱싱, 슬라이싱, 연결, 반복, 맴버체크, 크기정보 [내부링크]

시퀀스 자료형 이란 객체가 순서를 가지고 나열되 있는 변수들 의미 합니다. 시퀀스 자료형에는 문자열, 리스트, 튜블 이 있습니다. str_data = "abcde" #문자열 시퀀스 자료형 list_data = [1,2,3,"hi"] #리스트 시퀀스 자료형 tuple_data = (5,6,7,8) #튜플 시퀀스 자료형 인덱싱 인덱스를 통해 해당 값에 접근 가능, 인덱스는 0 부터 시작 슬라이싱 특정 구간의 값을 취득 가능, 구간은 시작 인덱스와 끝 인덱스로 정의 연결 '+' 연산자를 이용 두 시퀀스 자료를 연결 할 수 있다 반복 '*' 연산자를 이용 시퀀스 자료를 여러번 반복 할 수 있다. 맴버체크 'in' 키워드르 랏용해서 특정 값이 시퀀스 중에 포함 되는지 확인 가능하다 크기정보 len() 을 이용해서 자료의 크기를 알 수 있다. 인덱싱 indexing strdata = 'Time is money!!' listdata = [1, 2, [1, 2, 3]] print(strdata[5

(8) python - 문자열 포멧팅, 이스케이프 문자 [내부링크]

문자열 포메팅은 변수를 포함해서 문자열을 표시하기 위해 하나의 문자열로 포멧을 통일해 바꾸는 것을 말합니다. txt1 = '자바';txt2='파이썬' num1= 5; num2=10 print('나는 %s보다 %s에 더 익숙합니다.' %(txt1, txt2)) print('%s은 %s보다 %d배 더 쉽습니다.' %(txt2, txt1, num1)) print('%d + %d = %d' %(num1, num2, num1+num2)) print('작년 세계 경제 성장률은 전년에 비해 %d%% 포인트 증가했다.' %num1) 변수들을 문자 포맷을 사용해서 표현하고 %s 문자열에 대응 %c 문자나 기호 한개의 대응 %f 실수에 대응 %ㅇ wjdtndp eodmd %% %라는 기호를 를 표현 from time import sleep for i in range(100): msg = '\r진행률 %d%%' %(100-i-1) print(' '*len(msg), end='') print(msg, e

(9) python - 리스트, 튜플, 사전 [내부링크]

리스트 -list 리스트는 가장 많이 사용 되는 시퀀스 자료형 중 하나입니다. 리스트는 []로 표시하고 , (콤마)로 구분 합니다. list1 = [1, 2, 3, 4, 5] list2 = ['a', 'b', 'c'] list3 = [1, 'a', 'abc', [1, 2, 3, 4, 5], ['a', 'b', 'c']] list1[0] = 6 print(list1) # [6, 2, 3, 4, 5]가 출력됨 def myfunc(): print('안녕하세요') list4 = [1, 2, myfunc] list4[2]() # ‘안녕하세요’ 가 출력됨 튜플 - tuple 튜플은 리스트와 비슷하지만 요소의 값을 변경 할 수 없습니다. tuple1 = (1, 2, 3, 4, 5) tuple2 = ('a', 'b', 'c') tuple3 = (1, 'a', 'abc', [1, 2, 3, 4, 5], ['a', 'b', 'c']) #tuple1[0] = 6 def myfunc(): print('안

(10) python - 함수와 def 사용법 [내부링크]

함수는 특정 목적을 가진 코드의 집합이며 독립적으로 호출하여 사용할 수있습니다 다양한 함수를 만들어 놓으면 편리하게 가져다 사용할 수있죠~!! def add_number(n1, n2): ret = n1+n2 return ret def add_txt(t1, t2): print(t1+t2) ans = add_number(10, 15) print(ans) # 25가 출력됨 text1 = '대한민국~' text2 ='만세!!' add_txt(text1, text2) # ‘대한민국~만세!!’가 출력됨 인자와 리턴값이 있는 함수 선언 방법 def 함수이름(입력1, 입력2, ... ): 수행 코드 return 수행코드 결과값 리턴값은 있지만 인자가 없는 함수 선언 방법 def 함수이름(): 수행 코드 return 수행코드 결과값 인자와 리턴값이 없는 함수 선언 방법 def 함수이름(): 수행 코드 return (생략) 인자와 리턴값이 있는 함수 호출 방법 변수 = 함수이름 (입력, 입력2, ..

(5) python - 정수형, 실수형, 복소수형 [내부링크]

정수형 자료 프로그래밍에서 보통 10진수 상수를 정수형으로 취급하는데 2진수 8진수 16 진수를 사용하는 경우도 많습니다. 표현방법 2진수는 0b 8진수는 0o 16진수는 0x 일반적으로 정수형의 범위는 -2147483647 ~ 2147483647 을 가지지만 파이썬은 최대 최소는 없고 메모리가 혀용하는 범위에서 지원가능한 수를 사용가능합니다. int_data = 10 bin_data = 0b10 oct_data = 0o10 hex_data = 0x10 long_data = 1234567890123456789 print(int_data) print(bin_data) print(oct_data) print(hex_data) print(long_data) 실수형 자료 f1 = 1.0 f2 = 3.14 f3 = 1.56e3 f4 = -0.7e-4 print(f1) print(f2) print(f3) print(f4) 벼눗에 소수로 표현하면 실수형 자료로 취급합니다. f4 = -0.7x10