ministar247의 등록된 링크

 ministar247로 등록된 네이버 블로그 포스트 수는 72건입니다.

TED 죄수 모자 수수께끼 Can you solve this riddle? 시리즈 [내부링크]

Can you solve the prisoner hat riddle? - Alex Gendler 지하철에서 맨날 무한도전 옛능, 오분순삭이나 봤었는데 건설적인 두뇌활동을 위해 뒤적이다가 발견한 수수께끼... 이제 이런 거 좀 보고 재밌는 거 하나씩 업로드 해야겠음ㅋㅋ TED 죄수 모자 수수께끼. 비슷한 유형을 어디서 종종 본 것 같긴하다 ㅋㅋ 아무튼, 외계인이 나 포함 총 10명을 납치해갔다. 자비로우신 외계인 형님들이 외계어 번역기를 통해 말하는데... 능지 테스트를 통해 똑똑하면 살려준다고 하네요. 능지 테스트는 다음과 같습니다. 키 순서대로 앞을 보게 세웁니다. 각자 자기 앞사람은 모두 볼 수 있습니다. 절대로 뒤를 보거나, 줄을 벗어나면 안 됩니다. 살고 싶다면 시키는 대로 해야죠. 외계인 형님들이 우리들 10명 모두에게 무작위로 검정 또는 흰색의 모자를 씌웁니다. 색깔별로 몇 개가 있는지는 알려주지 않는다고 하네요. 시~작! 하면, 맨 뒤에 있는 사람부터 차례로 본인의 모자

하루는 긴데 한주는 짧구나 [내부링크]

맛집 블로거는 아니지만 쳇바퀴 1주일동안 삶의 이벤트가 전무하다시피해서 ㅋㅋㅋㅋ종로에 있는 황생가칼국수 국립현대미술관 옆에 있다. 찾아보니 2022미슐랭 이라던데... 무슨 음식이든 개떡같이 줘도 찰떡같이 맛있게 먹는 본인에게 타이틀은 사치다ㅋㅋㅋ 칼국수 + 왕만두 + 만두국. 가격은 만원이었나? 암튼 가성비는 나쁘지않았다. 사골육수 칼국수인데 바지락 칼국수에 익숙한 본인도 맛있게 먹었음ㅋㅋ 외국인들도 은근 있던데 광화문 근처라 그런가보다... 1주일마다 쓰는 반 강제 일기라서 그런가 1주일이 짧은 것 처럼 느껴진다. 역시 열심히 살면 시간이 금방가나? 그래서 하루가 긴것같기도 하고...

무지개 무지개 [내부링크]

정직한 제목 정직한 내용의 쌍무지개ㅋㅋ 이건 어제고 이건 작년짤. 소원빌어야지 두번빌어야지

짧고 굵은 붓싼 1박 2일 [내부링크]

06시20분 ITX새마을호 출발과 동시에 꿀잠on 11시 04분 부산 도착 입국신고서로 밀면하나 먹어주고 메인컨텐츠 송정 서프홀릭에서 서핑 1회차 강습때려주고 저녁으로 소대창+전골+한국인의 마무리 볶음밥ㅋㅋ 야식으로 해운대시장에 있는 상국이네 에서 떡볶이 비와서 드론쇼는 못보고 광안리 찍먹 후 꿀잠on 다시 2회차 강습on 어제보다는 좀 잘타지나 싶더니 강사님이 안밀어주시니 그냥 물에빠진 생쥐 그자체 ㅋㅋ 해변열차타고 해운대로 ㄱㄱ 고래사 어묵 야무지게 달달한 장어덮밥 아이스티 만세 이제 집가자...

MBTI말고 음악취향 되돌아보기 [내부링크]

굉장히 오랜만에 멜론 뒤적거리다가 (너무 늦게)발견한 ㅋㅋ 2021년 멜론 my report 21년엔 싱어게인 열심히 봐서 ㅋㅋㅋ 시즌2는 재미없어서 안봄... 이무진이랑 놀면 뭐하니덕에 추억 회상하러 SG워너비 노래 줄줄이 반복 재생, 본인 기준 전통의 명가(?) 장범준... 데이터 보니 인정할만 하다. 원래 노래 들을 때 그냥 내 플레이리스트 켜놓고 전체 랜덤재생 하는 편이고, 가끔 꽂히는 노래 있으면 그것만 반복재생 해서 그런가 나름 납득할 만한 분석이다 ㅋㅋㅋㅋ 아니 근데 태그에 이별뭐냐 버근가?ㅋㅋㅋ 밤에 듣나? 딱히 잘 모르겠는데 뭐 그렇다고 하니 ㅋㅋSG워너비 상위 3% ㄷㄷ 예전에 멜론 안쓰던 시절 포함하면 버스커버스커나 장범준 상위 1%도 무조건인데 아 ㅋㅋ 사실 장르는 잘 안가리는 잡식성? 스타일인데... 대신에 뭔가 멜로디가 명확하게 설명하기 어려운... 뭐랄까 리드미컬한 본인의 취향을 잘 충족시키면 플레이리스트에 때려넣는 편이라...ㅋㅋㅋ 골고루 잘 듣는다. 뭐

제주여행 간단 요약. [내부링크]

장마의 서울을 피해 날씨의 신과 함께... 주간일기 컨텐츠로 질질 끌어야지 ㅋㅋㅋ

당일치기 피서 명소 광명동굴 [내부링크]

광명동굴 경기도 광명시 가학로85번길 142 공부 복습용 블로그의 본질이 흐려지는 것 같은데... ㅋㅋㅋ 업로드는 안 해도 공부는 틈틈이 하고 있으니 위안을 삼고... 제주도는 언젠가 올리기로 하고 더 간단한 광명동굴 당일치기. 사실 당일치기라고 하기도 뭐 한 3시간 치기 ㅋㅋㅋ 왕복 2시간+구경 1시간 컷. 입구. since 1912부터 있었다고 한다. 원래는 광산에 폐광이었는데 2010년 들어서 관광자원으로 야무지게 개발에 성공한 케이스. 자세한 건 나무위키 참고 ㅋㅋㅋ 입구를 들어가기 전부터 에어컨 20대는 틀어놓은 것 같은 시~원한 바람이 불어온다. 이 바람길뿐만 아니라 동굴 내부가 대체로 굉장히 시원하기 때문에 여름에 가기에 아주 좋다. 실제로 가족단위 관광객들이 매우 많았음... 주렁주렁 이쁘게 잘 꾸며놨다. 상당히 기괴하게 찍혔는데;; 동굴 내부에 미니 예술의 전당처럼 되어있는 공연장 같은 곳에서 짧은 영상을 틀어준다. 동굴 내부에 생으로(?) 비춰주는데 나름 신기하고

당일치기 낙산사 여행기 [내부링크]

일시. 날씨가 화창한 5월의 어느 날 준비물. 자동차, 튼튼한 체력, 같이 행복을 나눌 가족, 친구 등등 한 7시 반? 8시쯤 출발했나? 암튼 서울 출발~ 고속도로 가면서 쉬기 적당한 홍천 휴게소. 사진 스팟에서 사람은 안 찍고 풍경만 찍음ㅋㅋ 아무튼 도착한 낙산사 올라가는 길. 나무, 숲 냄새가 좋다. 낙산사 길 안내 표지판. 순서대로 따라가면 야무지게 구경할 수 있다. 사찰에 웬 쥐가 있나 했더니 두더지였음ㅋㅋㅋ 석탑도 구경해 주고 소원 적는 종이...에서 너무 인상 깊은 소원을 봐버렸다 ㅋㅋㅋㅋㅋㅋㅋㅋ 행복하시길... ㅋㅋㅋ 해수관음상 있는 곳까지 올라오면 보이는 양양의 바다. 역시 바다는 동해안이 짱이다. 위풍당당한 해수관음상... 실제로 보니 굉장히 크다. 불상 뒤편은 또 처음 가보는데... 굉장히 화려하다. 바다는 역시 동해안. 물이 아주 맑다. 낙산사 의상대에서 바라본 바다. 저기 파란 지붕의 낙산사 홍련암이다. 작은 불전. 가는 길이 낭만이 있다. 꿀 먹방중인 벌을 보

과거로 돌아가는 주간 일기 [내부링크]

역시 일기는 방학 마지막 주에 밀려 쓰는 맛이지... 아예 예전 일들 조각 모음... 5월 올림픽공원 들꽃마루. 9경 중에 가장 풀, 꽃 냄새 맡기 좋은 곳. 저기 가만히 앉아있고 바람 살랑살랑 불면 그냥 신선놀음ㅋㅋ 롯데콘서트홀에서 열린 비엔나 바로크 오케스트라 공연. 홀이 최근에 지어져서 그런지 굉장히 멋있다... 야외 테라스에서 보이는 석촌호수도 훌륭하다. 평소에 클래식 자주 즐겨듣는 편은 아니지만, 그래도 다행히 음악 교과서 수준의 얕은 지식으로도 알 만한 유명한 곡들 위주로 연주해 줘서 좋았다. 앙코르 곡도 3곡이나 해줬는데 그중에 한 곡은 홀로 아리랑을 연주해 줘서 기억에 남음... 아주 goooood. 이건 저거 공연 보고 며칠 뒤에 갑자기 친구랑 학교 오케스트라 동아리 보러 간 거 ㅋㅋㅋ 강북에서 했는데 사람 꽤 많이 와서 놀라웠음... 친구 따라 처음 가봤는데 나름 재밌게 잘 듣고 왔다. 5월달 야무지네ㅋㅋㅋㅋㅋ

주간일기... 막차탑승 [내부링크]

이거또하네 ㅋㅋ 그냥 찍은사진이나 정리.. 올림픽공원 장미광장. 올공+따릉이는 언제나 옳다. 성내천 쪽 오리들과 몽촌호수 뒤에서 본 평화의광장. 더워죽을뻔 했다. 생각해보니 올공 우려먹는거아니면 컨텐츠가 없기때문에... 다음주에 들꽃마루 우려먹고, 과거 일상 행적 정리해야겠음... 일기는 짧게ㅎㅎ

올림픽공원 장미광장 봄 개장 [내부링크]

2022년 봄 올림픽공원 장미광장이 5.23일부터 7.31일까지 개장했다. 겨우내 휑하더니 장미꽃 다 심어놓으니까 알록달록하니 예쁘다... 대략 5~6가지 종류의 다양한 장미가 눈을 즐겁게 해준다 ㅋㅋ 역시 따릉이와 함께하는 올림픽공원은 실망시키지 않아...

회로이론 Ch5.3 Thevenin's and Norton's Theorems. 태브냉의 정리, 노턴의 정리. (2) [내부링크]

간만에 블로그 재활 다시 on... 그냥 공부하는 것보다 정리해서 글 올리는 게 훨씬 빡세다... 버로우 탄 동안 공부해놓은 것들 다시 찬찬히 올려보도록 하자. 암튼 이전 포스팅에서 테브냉, 노턴 circuit의 메커니즘은 얼추 파악했으니 이제 문제 풀이에 적용해 보자. 테브냉의 정리, 노턴의 정리를 적용할 original circuit의 구조에 따라서 방법이 다른데 차근차근 포스팅. 1) 회로에 only independent sources만 존재 : open circuit voltage(Voc) 혹은 short circuit current(isc)를 구하고 (테브냉이면 Voc 구하고 노턴이면 isc 구하고) Thevenin equivalent resistance(RTh)를 그냥 계산하면 된다. (sources를 다 0으로 만들고 단순히 바라보는 저항을 계산하라는 말) 2) 회로에 independent sources + dependent sources도 존재 : RTh를 위에서처럼

회로이론 Ch5.3 Thevenin's and Norton's Theorems. 태브냉의 정리, 노턴의 정리. (1) [내부링크]

회로이론 처음 공부할 때 제일 까다로웠던 테브냉(테브난)의 정리(+노턴의 정리) 파트. 얘는 어차피 뒤에 ...

회로이론 Ch5. Additional Analysis Techniques. Superposition 중첩의 원리. [내부링크]

Ch5에서는 앞에서 배운 KCL, KVL, nodal, loop analysis에 더해서 추가적 회로 해석 기법. 중첩의 ...

회로이론 Ch4.3 Fundamental Op-Amp Circuits ideal op-amp, negative feedback [내부링크]

ideal op-amp의 특성과 op-amp의 input과 output에 nodal analysis + 키르히호프 법칙들을 적용해서 문제를...

회로이론 Ch4 Operational Amplifiers op-amp 연산증폭기, ideal op-amp [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed를 바탕으로 합니다. * 허...

기사 스크랩. 초격차로 TSMC 따돌린 삼성…파운드리 기술 경쟁 심화 [내부링크]

꼬라박고 있는 주가 메모리 값이 아닌 파운드리 관련 뉴스가 요새 더 재밌다. ㅋㅋㅋ 삼성이 TSMC를 따...

회로이론 Basic Engineering Circuit Analysis Ch3.2 Loop Analysis 폐로 해석법 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed를 바탕으로 합니다. * 허...

기사 스크랩. [단독] 삼성전자, 테슬라 명운 걸린 '자율주행칩' 만든다 [내부링크]

오래간만에 보는 삼전 파운드리의 호재 소식. 삼전이 칩 설계 능력, 가성비 등등 전반적으로 TSMC를 누...

EBS 레전드 교양 특집 탄생? 위대한 수업, GREAT MINDS. [내부링크]

코로나 시국에 교육부 지원받고 EBS가 K-MOOC랑 합작해서 레전드 교양 특집을 성사시켰다 ㅋㅋㅋ ...

여름 날씨 [내부링크]

몇 주 전에 올공 들꽃마루에서 찍은 사진. 핸드폰 바꾸고 사진찍으니 잘 나와서 좋다ㅋㅋ 근데 며칠 전에 ...

국립중앙박물관, 고 이건희 컬렉션 특별전 후기 [내부링크]

210821 (토) 방문. 한 달 전인가? 12시 자정 땡 되자마자 뚝딱 비교적 손쉽게? 예약하고 다녀왔다 ㅋㅋ 뭐 ...

기사 스크랩. 삼성도 15m 밖에 못 보내는데… LG '100m 신기록' 세웠다. 6G 주파수 통신 [내부링크]

6G 풀 매수?는 아니고...ㅋㅋ 아직 5G도 전국적으로 다 터지는 것도 아니고, 6G기술+회로, 안테나, 모뎀...

삼성, 드디어 갤럭시 기본 앱 광고 제거 결정 [내부링크]

한 달 전쯤에 삼성페이, 날씨 앱 같은 갤럭시 기본 앱에 광고 좀 빼달라고 징징글 썼는데 ㅋㅋ 재드래곤 가...

<컬렉터, 역사를 수집하다>, 평범한 물건에 담긴 평범하지 않은 이야기들 [내부링크]

사실 이 책을 읽은 때는 작년이었고(너무 늦은 리뷰인데... 갑자기 생각나서ㅋㅋ) 이 작가님을 처음 알게 ...

기사 스크랩. TSMC-日 동맹·인텔 34조 M&A '반도체 지각변동'…삼성은? [내부링크]

인텔이 '글로벌 파운드리'를 먹으면서 파운드리 사업에 승부수를 던질 모양이다. 음... tsmc+일...

회로이론 Basic Engineering Circuit Analysis Ch3.1 Nodal Analysis 마디 해석법 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed를 바탕으로 합니다. * 허...

기사 스크랩. "메모리가 다 아니다" 삼성전자, 日 소니 맹추격 나섰다…세계 최소 이미지센서 출시 [내부링크]

이미지센서는 그래도 소니가 근본이네. 점유율이 과반 언저리... 스마트폰의 기술적인 쟁점이 카메라랑 ap ...

기사 스크랩. 이번에도 독주…설설 끓는 삼성 폴더블폰 ‘갤럭시Z’ [내부링크]

다른 건 몰라도 폴더블에서는 삼성이 근본이긴 하지 ㅋㅋ 중국제는 아직 폴드 시리즈에 비하면 좀 떨어진다...

회로이론 Problems 2.102, 106 wye-delta 변환 문제. [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. ISV를 바탕으로 합니...

기사 스크랩. 삼성전자 어쩌나…日, TSMC에 수천억 지원 '파운드리 밀월' [내부링크]

비슷한 기사 하나 더 어째 반도체 기사 아카이브 게시판이 되어버린 것 같기도 한데... 뭐 그만큼 핫하시다...

기사 스크랩. [지금은 과학] 반도체 수율 핵심 플라즈마 밀도, 실시간 진단 [내부링크]

IT 기사 쪽 보면 확실히 반도체 관련 뉴스들이 많긴 하다. 하긴 그만큼 온갖 곳에서 다 쓰니 ㅋㅋ 예전에...

기사 스크랩. 한국은 왜 뒤늦게 아르테미스 약정에 가입했나 [내부링크]

미국 주도의 달 탐사 계획인 아르테미스 계획에 참가... 단순히 한미 정상회담 관련 조치인 줄 알았는데 그...

기사 스크랩. 삼성·LG전자, 1분기 전 세계 TV시장 점유율 전체 절반 넘어 [내부링크]

디스플레이 하나는 기똥차네 ㄹㅇㅋㅋ OLED의 LG전자와 QLED의 삼성전자 둘이서 50퍼 넘게 해먹...

회로이론 Problems 2.101 KCL, KVL 응용문제 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. ISV를 바탕으로 합니...

회로이론 Problems 2.58 저항 정리하여 등가 저항 구하기 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. ISV를 바탕으로 합니...

공학수학 Ch1. First-Order ODEs [내부링크]

* 본 게시글의 내용은 Kreyszig의 Advanced Engineering Mathematics 10th ed를 바탕으로 합니다. * 허...

기사 스크랩. 엔비디아, 모든 그래픽카드 칩셋에 암호화폐 채굴 제한 조치 [내부링크]

드디어 제조사가 칼을 빼들었구만 ㅋㅋ 코인 때문에 조립형보다 완제품 PC가 더 가성비가 좋아지는 시대...

기사 스크랩. 1분기 세계 스마트폰 시장 호령한 아이폰 12... 갤럭시S21은? [내부링크]

사견... 애플이 기술도 기술인데 마케팅을 기똥차게 잘하는 것 같음...ㅋㅋ 뭔가 스마트폰을 필수품인데? ...

기사 스크랩. TSMC, 1나노 반도체 기술 난제 해결. [내부링크]

tsmc가 1나노 반도체 공정 난제 해결... 파운드리 분야에서 입지를 더 공고히 하려는 듯. 지금 당장은 미국...

<미적분의 쓸모>, 아는 만큼 보인다, 미적분으로 더 넓게 세상을 바라보는 법 [내부링크]

대한민국에서 보통의 고등학교를 나왔다면 '미적분'을 모를 수가 없을 것이다. 아니 ㅋㅋ 정확히...

회로이론 Problems 2.52 wye-delta 변환 문제 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. ISV를 바탕으로 합니...

회로이론 Problems 2.28 KVL 관련 문제 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. ISV를 바탕으로 합니...

기사 스크랩. 텔레칩스, 국산 첫 차량용 MCU 출시... 삼성전자 파운드리 시범생산 [내부링크]

국내 차량용 반도체 fabless 기업(설계만 하는)인 텔레칩스가 한 건 해냈다 ㅋㅋ 주린이 본인... 텔레칩스 ...

기사 스크랩. TSMC에 견제구 날린 중국 [내부링크]

반도체 전쟁이 앞으로 더 피곤해지겠네ㅋㅋ 인텔에 중국에 그냥 어우 #반도체 #TSMC #삼성전자 #기사스...

공학수학 포스팅 시작 (Advanced Engineering Mathematics 10th Edition By Erwin Kreyszig), 원서, 솔루션 pdf [내부링크]

공학수학 Advanced Engineering Mathematics 10th Edition By Erwin Kreyszig 수학 과목들 중 미적...

회로이론 Basic Engineering Circuit Analysis Ch2.7 Circuits With Dependent sources, Ch2.8 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed를 바탕으로 합니다. * 허...

회로이론 휘트스톤 브리지(wheatstone bridge) 회로, 전압계, 전류계 연결 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed를 바탕으로 합니다. * 허...

회로이론 Basic Engineering Circuit Analysis Ch2.6 Wye-Delta Transformations(와이 델타 Y-Δ 변환) [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th edition입니다. * 허접한 학...

회로이론 Basic Engineering Circuit Analysis Ch2.5 Series and Parallel Resistor Combinations [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th edition입니다.* 허접한 학...

회로이론 11판 솔루션, 원서 Irwin, Basic Engineering Circuit Analysis 11th edtion pdf, solution pdf 링크 및 첨부파일 [내부링크]

아니 ㅋㅋ 블로그 통계 보다가 네이버에서도 회로이론11판 솔루션, Irwin, Basic Engineering Circuit Analysis 11th edtion pdf, solution pdf 유입이 있네? 하고 검색해봤더니 무슨 죄다 홍보 글, 유료 낚시 광고 글들만 뜨네 ㅋㅋㅋ bot으로 한 작업인가... 아무튼 원서나 solution pdf는 구글링 하면 대부분 찾을 수 있지만 혹시나 네이버를 사랑하셔서 검색하시는 분들을 위해...회로이론 Irwin, Basic Engineering Circuit Analysis 11th edtion pdf 링크는docshare02.docshare.tips/files/28886/288867557.pdf우측 상단 버튼 눌러서 다운로드하시길. 혹시 링크가 안되면 저것을 그대로 구글에 검색하셔서 제일 처음에 나오는 pdf 링크를.......

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch1. Basic Concepts (2) [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.저번 글의 식에 이어서 전력의 수동 부호 규약(passive sign convention)을 정리하자.간단하게 앞으로 전압 v(t)에 대한 변수들은 전류 i(t)가 유입되는 단자가 positive가 되도록 하여 소자(element)에 걸리는 전압으로 하자고 정한 것이다. 이 v*i의 곱이 전력(p)의 크기와 부호를 결정하게 된다. (-) 면 음...공 전력을 공급하는 소자(배터리 생각...)이고 (+) 면 양...흡 전력을 소비, 흡수하는 소자(전구 생각...)이다........

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch2. Resistive Circuits (1) - ohm's law [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.Ch2에서는 옴의 법칙, 키르히호프 법칙들 KCL, KVL, single-loop and single-node-pair circuits 해석, 저항 회로들 해석, 전압 분배, 전류 분배, Y-Δ 변환, 종속 전원 회로 해석 등을 다룬다. 쓰다 보니 왜 이리 많냐 이거 ㅋㅋㅋCh2.1 옴의 법칙 Ohm's law1줄 요약. V=IR 이제 시간에 따른 변화가 있다면 v(t)=R*i(t) (단, R ≥0)으로 쓸 수 있다. 간단하게 말해서 저항 R의 양단에 걸리는 전압 V는 전.......

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch2. Resistive Circuits (2) - 키르히호프의 법칙 [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.Ch2.2 Kirchhoff's Laws우선 회로들을 다루면서 연결 전선들은 저항이 0 인 완전한 도체라고 + 에너지들은 모두 element에만 있는 것으로 가정한다. 즉, 이상적인 회로들인데 이를 lumped-parameter circuit이라고 한다.KCL, KVL 정리하기 전에 용어 먼저 정리하자.node(마디) : point of connection of two or more circuit elements. 그냥 2개 이상의 회로소자들의 연결점이라고 생각하면 된다. 문제는 이게 회로마.......

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch2.3 - Single-Loop Circuits(단일 폐로) [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.Ch2.3 Single-Loop CircuitsVoltage Division. 전압 분배를 알아가면 될 듯.간ㅡ단 그 자체의 회로. 소자들이 다 직렬로 연결되어 있으므로 모두 같은 전류가 흐른다. vR1과 vR2는 각각 저항 R1, R2에 걸린 전압이다. 시계 방향으로 KVL을 적용해보자.-v(t)+vR1+vR2=0이고 옴의 법칙을 적용해서 저항에 걸린 전압들을 저항과 전류의 합으로 바꾸고 v(t)를 넘기자.i(t)*(R1+R2)=v(t). 얘를 i(t)에 대해서 쓰면 i(.......

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch2.4 Single-Node-Pair Circuits [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.Ch2.4 Single-Node-Pair CircuitsCurrent Division. 전류 분배를 알아가자.병렬로 연결된 간단한 회로. 병렬이므로 전원이랑 저항 양단의 전압이 모두 v(t) 임을 알 수 있다. 위쪽 node를 보면 전류원 i(t)는 들어오고 i1(t), i2(t)는 나가는 방향이므로 i(t)=i1(t)+i2(t)가 된다. (by KCL) 옴의 법칙을 적용하여임을 알 수 있다. 병렬로 연결된 2개 저항의 등가 저항은 저항 두 개의 곱을 합으로 나누면 된다.혹은 역.......

전자정복) 무선통신, 마르코니, 헤르츠 [내부링크]

전화 사업이 파괴적인 속도로 성공을 거두며 성장했어도 한계가 있었다. 바로 장거리 통신에서 자유롭지 못하여 여전히 전신에 의존해야 했다는 것. 그 한계를 극복한 무선통신(사실은 무선전신에 가까운) 기술의 시작을 알아보자. Ch4 Wireless Telegraphy.위대한 과학자 맥스웰이 남긴 것 중 하나가 바로 전자기파 존재에 대한 추측이다. 사람의 눈으로 보이는 가시광선 외에 다른 범위 파장을 가진 빛이 있다는 이 주장은 독일의 '하인리히 헤르츠'가 증명해냈다. 주파수 단위 헤르츠(Hz), 바로 그 양반이다. 이 양반은 8년간의 연구 끝에 1888년 전자기파의 존재를 증명해냈다. 실험 과정을 간단히 보자.위 그림에서 구 모양의 축.......

전자정복) 전기와 빛, 에디슨, 웨스팅하우스, 테슬라 [내부링크]

전신, 무선통신 등의 발전으로 점점 시공간의 한계를 극복해가고 있다. 하루는 24시간, 그중 대략 절반은 밤이다. 달빛으로는 어둠을 극복하기 쉽지 않다. 그러나 또 해내고야 마는 집념의 과학자들. 낮에는 햇빛이 있다면 밤에는 '불빛'이다. Ch5 조명과 전기화(Lighting and Electrification) 리뷰 시작.어렸을 때 에디슨의 모습을 떠올려 보면, 위인전의 단골 소재로 알을 품은 에피소드가 나오며 호기심 많고 노력한 천재 발명가가 떠오른다. 물론 그런 모습도 있지만 요새는 그 외의 다양한 면도 알려진 것 같다. 대표적으로 사업가적인 면모. 나중에 서술할 전류 전쟁, current war에서는 추잡스러운 행동까지.... 말이다 ㅋ.......

<일본 전자, 반도체 대붕괴의 교훈> 기술 혁신, 마케팅 [내부링크]

뭔가 파멸적인 제목이 익숙하다 했더니 이 책을 쓴 저자와 동일 인물이었다 ㅋㅋ 지금은 절판되고(학생 때라 읽지는 않았지만 제목의 강렬함만 남음 ㅋㅋ) 그 개정판 느낌으로 아마 <일본 전자 반도체 대붕괴의 교훈>을 쓴 것 같다. 저자인 '유노가미 다카시'라는 분은 HITACHI 제작소에서 반도체 관련 업무를 하다가 반강제적으로 회사에서 나와서(책에 있는 본인피셜) 도시샤 대학에서 교수도 하시면서 이쪽 관련 사회과학 분야 연구를 하신다. 1쇄가 2013년에 나와서 2021년 지금은 어떤가 궁금해서 찾아봤더니 그 후에 한국 언론과도 가끔 인터뷰한 내용이 있었다. 지금은 미세가공연구소 소장으로 계시는듯하다.2018년 11.......

<수학의 쓸모>, 통계학, 빅데이터와 AI 찍먹 입문서 [내부링크]

우선 제목부터 굉장히 호불호 갈릴 것 같다 ㅋㅋㅋ 그래도 이 책과 마주하게 되었다는 것은 최소한의 흥미가 있다는 것일 테고 그 정도면 이 책의 내용을 이해하는 데에는 큰 어려움이 없을 것이다. 부제로 '불확실한 미래에서 보통 사람들도 답을 얻는 방법'이라고 적혀있다. 물론 (아마 나를 포함한ㅋㅋ) 대다수의 사람들은 기술의 발전의 혜택을 누리면 된다. 만약 자기가 기술 발전에 이바지하고 싶다?? 그러면 가자, 대학원으로 ㅋㅋㅋㅋㅋ이 책에서 전반적으로 다루는 내용은 통계학을 기반으로 한 빅데이터, 머신러닝, 알고리즘, AI 시스템 등등 사실상 대학원에 가야만 만날 수 있는 분야들이다. 적어도 아직까지는. 그러나.......

전자정복) 전자의 발견과 발전, 톰슨, 음극선, 플레밍, 진공관 [내부링크]

이제 전기를 어느 정도 가지고 놀 수는 있게 되었다. 그러나 아직까지 전기의 본질, 전하를 운반하는 매개체가 누구인지에 대한 수수께끼는 풀리지 않았다. 물질의 가장 작은 단위 '원자'...인 줄 알았으나 그것보다 더 작은 것이 있다. 이제 '전자'의 시대다. Ch6 Current Flow in a Vacuum, Ch7 Controlling the Flow of Electrons.첫 단추는 1807년 '험프리 데이비'의 실험에서부터였다. 밀봉된 유리관 안에 탄소 막대를 두고 이 막대 사이로 고전압을 흘려보냈다. 그러면 막대 사이에 빛이 나며 전류가 이 막대 사이 공기 공간을 흐르는 것이다. 이 실험이 아크 방전 실험이다. 그러나 이 실험의 구조상 탄.......

전자정복) 라디오, 텔레비전 역사의 시작 [내부링크]

진공관의 발명에서 시작된 스노우볼은 라디오와 텔레비전으로 굴러간다. 라디오와 텔레비전(TV)의 발명에는 어떤 인물이, 어떤 사건이, 어떤 결과가 있는지 라디오와 텔레비전 역사의 시작을 정리해보자. Ch8 Radio, Ch9 Television 시작.사실 3극 진공관이 나오기 전에 이미 라디오 방송을 해낸 사람이 있었다. 그의 이름은 '레지널드 페센든'으로 정식으로 대학을 나오지 않은 아마추어 과학자였다. 음성과 음악을 전파로 보내기 위해 그는 음성 신호를 반송파(carrier wave)라는 지속적인 고주파에 부호화하여 송신하면 여러 수신국의 수신기에서 수신하는 아이디어를 생각해냈다. 그러나 당시 2극, 3극 진공관이 없어서 이러한 반.......

회로이론 포스팅 시작 (Irwin Basic Engineering Circuit Analysis 11th edition) [내부링크]

Irwin Engineering Circuit Analysis 11th edition... 1학년 때 처음 배운 전공과목인데... ㅋㅋㅋ 지금이나 그때나 공부를 안 했으므로 ㅋㅋㅋㅋ 이제는 뇌에 다 때려 박기 위해 (나중에 재수강이라는 강을 건너야 하기 때문에... ㅋㅋㅋ) 공부한 내용 정리해서 올려야겠다.아니 근데 검색해보니 책값이 44,000으로 나오네.. 뭔가 기억이 오래되어서 그런가 가격이 싸진 느낌이 든다. pdf도 좋지만 실물 책을 선호해서 전공 책은 웬만하면 다 사는 편인데 학교 서점에서 살 때 저거보다 비싸게 산거 같은 느낌이... ㅋㅋㅋ 하긴 요새는 원서나 솔루션 전부 다 구글링하면 pdf 나오니까 뭐..아무튼 내 맘대로 회로이론 정리 시작. 혹시나 지.......

회로이론 Basic Engineering Circuit Analysis 11th ed. Ch1. Basic Concepts (1) [내부링크]

* 본 게시글의 내용은 Irwin의 Basic Engineering Circuit Analysis 11th ed. international version입니다.* 허접한 학부생의 글이므로 오류가 있을 수 있습니다... 발견하셔서 알려주시면 매우 매우 감사하겠습니다.Ch1.1 System of UnitsSI 단위의 접두사만 외워놓자. 10-12(pico, p) 10-9(nano, n) 10-6(micro, μ) 10-3(milli, m) 1 103(kilo, k) 106(mega, M) 109(giga, G) 1012(tera, T)Ch1.2 Basic Quantities회로 분석의 가장 기본량이 전하(charge)이다. 전하가 움직여서 에너지가 전달됨. 회로는 결국 전하를 이동시키는 통로 역할이다. 이 charge의 시간에 따른 변화율이 전류(current)이다.i는 전류, q는 전하 이며, 전류.......

블로그를 시작하며... [내부링크]

대부분의 블로거들과 마찬가지로, 대문에도 나와있듯이 이 블로그의 개설 동기 및 목적은 기록보관 용도이다. 물론 이 미약한 의지가 언제까지 갈지는 잘 모르겠다. 나는 글발도 뛰어나지 않고 글쓰기와는 그렇게 친하지 않아서...아무튼 복학까지 남은 수년 동안 이 블로그에다가 전공지식 재활치료 겸 미래 인생설계 빌드업 겸해서 겸사겸사 포스팅을 할 예정이다. 책 읽고 느낀 간단한 후기나 감상... 여러 가지 사이버 다이어리 느낌으로다가 배웠던 것, 배운 것, 배울 것, 느낀 것 등을 블로그의 힘을 빌려 뇌의 휘발성을 좀 억제해야 되겠다.원래 새해 다짐 같은 것을 잘 하진 않지만 내년 목표는 인생설계 빌드업 잘 하고 블로그에.......

최태성, <역사의 쓸모>_역사에 무임승차하지 말자 [내부링크]

올해 코로나 시국에 했던 거의 유일한 건설적인 활동이 한능검 자격증 획득이다. 어릴 때부터 역사 장르의 책은 좋아하는 편이어서 만화책도 많이 보고 이곳저곳에서 주워들은 잡학 지식 덕에 다른 수업 없이 최태성 선생님의 유튜브 무료 인강으로 어렵지 않게 1급을 딸 수 있었다. 인강 중간중간에 '역사의 쓸모' 책을 종종 언급하시고 시험 본 다음에 꼭 한번 읽어보라고 추천하셔서 읽어보게 되었다. 읽은 지 몇 주 된 것 같긴 한데 최대한 기억을 살려서..ㅋㅋ학교 도서관에서 빌려 읽었다. 갈 때마다 대출 중이어서 삼고초려 비슷하게 한 후에야 대출을 받았던 기억이 난다... 책 내용을 한 줄로 관통하면 '역사에 무임.......

와카타케 나나미, <이별의 수법>-살인곰 서점 시리즈 [내부링크]

개인적으로 소설 장르, 그중에서도 추리소설을 좋아하는 이유는 술술 넘기며 읽을 수 있는 데다가 몰입감 있는 재미가 있기 때문이다. 예전에 읽어서 기억이 가물가물 해진 추리소설계의 근본과 같은 셜록 홈즈 시리즈나 뤼팽에 이어서 일본 추리소설하면 대부분이 가장 먼저 떠오르는 히가시노 게이고의 작품이 대표적이라고 할 수 있을 것이다. 게다가 히가시노 게이고 작가는 신작도 많이 쓰시는 편인 것 같고 재미의 타율도 굉장히 높다고 생각하기 때문에 굉장히 맘에 든다. 요 몇 달간 꽤 읽었는데 이것도 생각날 때마다 하나씩 리뷰하도록 하고... 오늘은 <이별의 수법> 리뷰를 먼저 해보자.이 책을 읽게 된 계기도 다른 의미로 히.......

전자정복) 전자기학의 시작. 전기와 자기, 정전기 [내부링크]

우선 본문에 앞서 이 포스팅은 현재 읽고 있는 책 내용을 요약정리한 것임을 밝힌다. 책의 전반적인 후기도 나중에 쓰겠지만 읽다 보니 재밌어서 우선 읽은 내용 위주로만 포스팅하는 걸로 ㅋㅋ 제목은 <전자정복>이다. Ch1 제목은 지식 기반(The knowledge Foundation)인데 내용의 핵심이 전자기학의 시작과 전자기학의 아버지인 패러데이와 맥스웰에 대한 것이다. 이 포스팅은 전자기학의 시작 부분만 정리하고 패러데이와 맥스웰에 대한 썰들은 다음 포스팅에...우선, 전기(electricity)의 어원은 그리스어로 호박을 뜻하는 'elektron'에서 유래한 것이다. 한 3천 년 전에 어떤 그리스 양반이 천 조각으로 호박을 문질렀더.......

전자정복) 전지(battery), 패러데이와 맥스웰 [내부링크]

이번에도 책 링크 달아놓고ㅋㅋ 저번 포스팅의 정전기에 이어서 이번에는 전지, 전자기 쪽으로 가보자 가보자~ 전기를 충전해서 쓸 수도 있고 사람 몸에도 통한다는 사실과 더불어 우연히 전기뱀장어를 접하게 되는 등 이제 연구 토픽들은 '동물 전기'에 대한 쪽으로 향하게 된다. 그 선구자 중 한 명이 '루이지 갈바니' 교수이다. 이 양반이 구리판 위에서 개구리 해부를 진행하던 중 금속 클립이 개구리에 스칠 때마다 개구리의 넓적 다리근육에서 경련이 일어나는 것을 발견한다. 이를 토대로 '개구리의 몸속에 전기를 발생시키는 뭔가가 있다'라는 이론을 발표하게 된다. 이 이론이 발표된 후 학계에서는 논쟁.......

전자정복) 전신(Telegraph), 모스 [내부링크]

사람을 보낼 때는 전 세계 어디든 하루 안에는 갈 수 있다. 소식을 보낼 때는? 스마트폰만 있으면 1초 만에도 보낼 수 있다. 1초 만에 보내기 위한 그 노력의 시작에 대해 포스팅해보자.앞선 포스팅에서 놀레 사제의 진짜 실험 목적은 전류를 통해 메시지를 전달할 수 있는가를 알아보기 위함이었다. 심지어 라이덴병을 알파벳 숫자만큼 배치하여 메시지를 전달하려는 시도도 있었다. 이 문제를 해결하려는 두 사람, 영국의 쿡과 미국의 모스가 등장한다.모스에 대해 먼저 알아보자. 이 양반은 1791년 미국에서 태어났으며 본업은 화가로 돈이 되는 초상화를 주로 그렸는데 일 때문에 집을 자주 비워야 했다. 그러던 중 아내가 아프다는 소식을.......

전자정복) 전화 발명의 역사, 그레이, 벨, 에디슨 [내부링크]

역사는 승자의 기록이다+무전유죄 유전무죄 = 전화 발명의 역사라고 할 수 있겠다. Ch3 전화 포스팅 시작.모스의 활약으로 방방곡곡 전신이 세워졌다. 근데 한 번에 하나의 메시지만 보내려니까 슬슬 돈이 아깝다. 이를 해결하기 위한 개념이 '주파수 다중화(frequency multiplexing)'이다. 특정 라인에 주파수를 여러 개로 쪼개서 보내면 수신 측에서 쏙쏙 뽑아서 수신하면 여러 개의 메시지를 보내고 받을 수 있게 된다. (고학년 때 통신 과목을 들어야 무슨 소린지 알아들을 것 같다;;)이 아이디어를 기술로 현실화하기 위해 도전한 2명이 인물이 있었으니 '엘리샤 그레이'와 '알렉산더 그레이엄 벨'이.......

김준혁, <리더라면 정조처럼>_정조의 5049 리더십 [내부링크]

이번에는 며칠 전에 읽은 책 '리더라면 정조처럼'을 포스팅해보자. 사실 개인적으로 소위 말하는 '자기 계발서'류의 책은 별로 안 좋아해서 이 책은 <'리더'라면 정조처럼>이 아닌 <리더라면 '정조'처럼>의 관점으로, 역사서를 보는 것처럼 읽었다. 역사서는 재밌으니까ㅋㅋㅋ. (신간이라 그런지 빌리기 빡셌다ㅋㅋ)부제에 적혀있는 '5049 리더십'에서 5049는 활쏘기 50발 중에서 49발을 적중시키고 마지막 1발은 스스로가 자만에 빠지지 않기 위해+겸손한 태도를 유지하기 위해 일부러 허공에다가 마지막 화살을 쏘는 일화에서 가져온 것이다. 당시 한 나라의 정점에 있는 사.......