dlsgur5585의 등록된 링크

 dlsgur5585로 등록된 네이버 블로그 포스트 수는 81건입니다.

[호주여행] 1일차 (2) - 하이드파크, 세인트 메리 성당 ,마틴플레이스, 디너크루즈 (VVID 드론 축제) [내부링크]

[호주여행] 1일차 (1) - 시드니 도착, 마켓시티 (패디스 마켓), 시드니 시청, Blackbirds Cafe, QVB(퀸 빅토리아 빌딩) 6.16 금요일 한달 전 급하게 비행기 예약하고 계획짜놓고 손꼽아 기다린 날, 점심먹고 칼퇴한다고 팀원분들... blog.naver.com 이어서 계속 QVB에서 일 마치고 스을스을 선선해질때 쯤 호주에 공원으로 유명한 곳이 있다길래 구경하러 갔다. 하이드 공원 Elizabeth St, Sydney NSW 2000 오스트레일리아 이 블로그의 체크인 이 장소의 다른 글 공항에서 숙소로 체크인하러 갔을 때 왠 나래비가 하나 있지?라는 생각이 들었었는데 이게 알고보니 하이드파크의 상징이랬다. 왠지 낯이 익길래 반가웠음 인공 호수에서 사람들이 앉아 음악을 즐기고 작가들은 사진을 찍는데 그 여유가 참 좋았다. 산책하는 길 웨딩드레스 입은 부부가 스냅 촬영하던데 이쁘더라 분수를 두고 꽃밭이 둥글게 있는 정원이 있는데 그 사이로 멋있는 성이 하나 보이더라

23년 동계 삼성전자 DS 샤이닝스타 모집 시작 [내부링크]

삼전 인사팀에서 메일이 와서 알려드립니다ㅎㅎ 특히 학교 후배 등 주변 지인들에게 많은 홍보를 하라고 하니 홍보겸 정보공유겸 올려봅니다. DS부문에서 대학생 저년차(1~2학년)를 대상으로 하는 반도체 실습 프로그램('삼성샤이닝스타') 모집을 진행하고 있습니다. * 삼성샤이닝스타란? 반도체 기초 및 8대 공정에 대한 이론 학습과 더불어, 선배와의 대화, 사업장 투어 등 직간접적 회사 체험을 통해 삼성전자 DS부문과 더욱 친숙해질 수 있는 프로그램 * 지원 링크 : https://www.samsung-dsrecruit.com/2022_nov_shiningstar/index.php 2023년 동계 삼성 샤이닝 스타 모집 모집 | 삼성전자 DS부문 채용 홈페이지 22년 하계 삼성 샤이닝 스타 모집이 시작되었습니다 www.samsung-dsrecruit.com * 대상 : 4년제 대학 1~2학년 이공계/자연계 전공자 중 기초 과목 6학점 이상 수료자 (지원 시점 기준 1학년 1학기 이상 수료자

[12/1월 일상] 작은 날 [내부링크]

작은 날 가사의 내용과는 전혀 상관없지만, 제목만은 나와 닮은 12월과 1월 멜로디가 좋아서, 자신감이 많이 떨어진거 같아 골라본 비슷한 제목의 노래라서, 키카코가 좋아서 올려본 노래 요즘 힘들어서 그런가. 긍정적으로 생각하고자 노력하고 있다. 나중에는 더 나아지겠지 일렀던 인생 첫 연말 회식 중국어 교육 갔다오니 회식이 있다고 했다 갑작스럽게 파트장님이 바뀌어서 당황했는데, 전파트장님이 회식때 참여하셨다. 나는 얼굴도 모르는데, 팥장님은 나 알고 있다고 했다 자리, 멘토 배치도 일부로 그렇게 했다고, 이유는 말씀안하셨다. 여튼 이날 현우형이 필름이 끊겼다고 해서 선배님 집에서 잤다는데ㅋㅋ 왠지 1차 때 눈이 맛이 갔더라고 왜 같이 안갔냐고~ 월드컵 결승 인생 3번째로 재밌었던 월드컵이었다. 4년을 또 언제 기다릴까 코로나 확진사건 이때 당시에는 독감이 유행이어서 "아 독감인가보다~ 했는데" 코로나였다. 다행이 팀원분들께 피해끼친게 없어서 다행이었다. 배치받은지 얼마 안되서 바로 일

[2 ~6월 일상] 근황스 [내부링크]

오랜만입니다~ 매생입니다~ 현생 사느라 거의 5개월만에 블로그 들어가 보네요ㅎㅎ 사실 입사 초기에 직무 적응 문제로 너무 힘들었었는데,, 요즘은 행복하게 지내고 있습니다 나이(?)가 들어가면서 저도 사진을 거의 안찍게 되니,,, 억지로라도 뭐라도 기억을 끄집어 내서 적어보겠습니다 최근 들어 빠진 노래인데 들으면서 구경하세오 나만 알순 없는 가수라 꼭꼭 알아두시라고요~ 2월 한창 모든게 안풀리고 부정적인 생각들이 가득했던 2월. 유난히 지인들이 도와주었던 달이었다 그 중 하나가 사진 남기기였는데, 왜 여자애들이 그리 사진을 많이 찍는지도 알게되었던 달이었다 PTSD도 살짝 올뻔;; 취준용 사진을 찍은 이후 처음으로 신촌에 [시현하다]라는 곳에 가게 되었다. 여기는 특이하게 자기를 나타내는 키워드 3개를 고르게 하더라? 당시에 좀 긍정적으로 바뀌고 싶어 밝은 단어로만 골랐다. 작가님이 내 이미지 + 단어에 맞춰 컨셉을 정해주셨다. 컨셉에 맞춰서 찍힐려고 나름 노력 많이 했다. 사실 안하

[호주여행] 0일차 - 사전 준비 (비자, 유심, 투어/비행기/호텔 예약) [내부링크]

"직무 바뀌면 또 바빠질텐데 6월에 여행이나 갔다와라" 설비팀에서의 파견 종료날이 확정되고 나서 선배님이 말씀해주신 말이다. 입사 후 휴가를 쓴적이 없어서 지친게 느껴졌는지, 튕기지도 않고 바로 여행가겠다고 말씀드리고 날짜만 확정^^ 회사생활이 고단했었거든요^^ 어쨋든 갑작스럽게 정해진 휴가라, 혼자갈만한 나라를 찾아보던 중에 호주가 눈에 띄어서, 87% J 답게 퇴근후 틈틈히 계획을 짜면서 차근히 준비해 갔다. 혼여는 처음이다보니 준비할게 은근히 많았다. 혹여나 호주 여행 가실분들을 위해 꼭 준비해야 될 것들과 약간의 TIP을 여기에 적어두었다. . Australian ETA 필수 "호주 비자"라고 생각하면 된다, 호주나 뉴질랜드로 여행, 워홀갈 때 꼭 필요한 비자로, 반드시 신청하자 비자 발급은 생각보다 오래 걸리지 않고 쉬우니까 미리미리 합시다. 갤럭시 기준 구글 스토어 - Australian ETA 검색 - 다운 발급 과정: 어플 open 시 모두 동의 - travel aga

[호주여행] 1일차 (1) - 시드니 도착, 마켓시티 (패디스 마켓), 시드니 시청, Blackbirds Cafe, QVB(퀸 빅토리아 빌딩) [내부링크]

6.16 금요일 한달 전 급하게 비행기 예약하고 계획짜놓고 손꼽아 기다린 날, 점심먹고 칼퇴한다고 팀원분들께 통보(?)하고 후다닥 인천으로 달려갔다. 혼자 여행가는게 첨이라 기대반 걱정반으로 비행기 타러~ 아시아나 타러 2터미널로 바로 갔다 젯스타에 비해 확실히 비싸긴 한데 돈 값은 하는 듯 직항이라 편하고 아시아나라 더 편하고~ 간다잇 10시간동안 비행이라 기내식도 2번 챙겨준다 누가 기내식 맛없다 했나?? 10시간동안 테디베어만 반복 재생한 듯 덕분에 행복했습니다 도착때 쯔음 창밖 사진 이때가 아침 6시였나? 시드니 공항에 내리자마자 풍겨오는 향기 다들 해외여행오면 알제? 이거때문에 기분이 좋아지는거~ 입국수속은 생각보다 빨랐다 해외는 인천에 비해 엄청 느리다고는 하는데 꼭 그렇지만은 않은 듯? 의약품 심사도 통과했고 짐도 찾아서 바로 숙소로 직행~ Museum Station 오스트레일리아 2000 뉴사우스웨일스 주 시드니 시 내가 예약한 숙소로 갈려면 Museum station

[10월 일상] 여유와 행복 [내부링크]

SVP 오프 교육이 끝나고 다시 온라인 교육에 입과했다 이번에는 DS 동기끼리 따로 사업부 교육...! 생각보다 중요한 정보들이 많아서 필요한 건 주의깊게 듣고 정리를 했다. 물론 메타버스도....... 다행인건 ㅈ페토가 아닌거 나름 퀴즈도 풀면서 재미는 있었음 동기들끼리 게임도 같이 진행했다. 스케치북 게임이 [폰갈틱]이라는 온라인 게임으로 나왔더라 진짜진짜 꿀잼임ㅋㅋㅋㅋㅋㅋ 꼭 같이 해보세요~ 자매품: 카트라이더, 덕몽어스 교육 받다보면 SVP 수료품이 온다. 사실 호암관에서 받을 줄 알았는데 우리 차수는 택배로 줬나보다. 앞차수는 바로 받은거 같던데... 어쨋든 언박싱해보니 삼뽕용 다이어리, 머그컵, 레고, 펜이 들어있다. 레고 마니아라 만족, 머그컵은 글쎄, 다이어리는 실용적일듯 며칠 뒤에 무려 황금명함이 배달왔다. 실물 보니까 영롱하긴 한듯 이거땜에 삼전 들어갔지~~~ 씹어보니까 진짜 18k임 ㄹㅇ 님들 진짜임;; 교육 중 배경 통일 샷 발표에 커피걸려있어서 다른팀하고 경쟁

[반도체 기사 모음] 11/7 ~ 11/13 이슈 요약 [내부링크]

#삼성·SK, ‘메모리 불황’ 돌파 위해 내세운 CXL 기술 뭐길래? 삼성·SK, ‘메모리 불황’ 돌파 위해 내세운 CXL 기술 뭐길래? 삼성전자와 SK하이닉스의 반도체가 3분기 부진한 실적을 기록한 가운데, 4분기 업황이 더 어려워질 것이란 전망이 나온다. 양사는 D램, 낸드플래시(이하 낸드) 등 메모리 반도체 시장의 선두주자로 시장에서 기술 초격차 n.news.naver.com 요약) SEC&SKH -> 메모리 불황 극복 위한 CXL(Compute eXpress Link) 기술 강조 => SEC: 5월 세계 최초 512Gb CXL D램 개발 ~> 1개 CPU 최대 16TB 지원 SKH: 8월 DDR5 1anm D램 기반 96Gb 제품 개발 및 내년 양산 예정 -> SEC: CXL 기반 PNM(Processing-near-Memory) 기술 개발 => 8월 메모리의 저장 + 연산 + CPU-메모리간 병목현상 ↓ -> SKH: CXL 기반 CMS(Computational Memory

[11월 일상] 좌절과 행복 그 사이 [내부링크]

11월 초 교육 막바지. 나라 지키러 회사 예비군 부대로 갈 줄 알았으나 난 왜 충청도로 가야하는가??? 4시간 예비군 하러 왕복 4시간을 갔다왔다. 마지막 연차라 참는다....후.... → 예시 평택으로 메모리 교육 받으로 가기 직전 기분전환겸 펌하러 갔다. 거의 4년만에 펌이라 무난하게 옆사진처럼 해달라 했는데 머리는 괜찮게 나왔으나 여기까지 하겠다. 머리든 옷이든 얼굴빨이다 ㅆ;; 오랜만에 주말에 부모님이랑 고기집에 갔다. 물론 내가 냈지만, 내돈이 아니라 경기도에서 준 면접지원비?로! 아직도 30만원정도 남았는데 뭐에쓸지 모르겠다. 공짜로 먹어서 그런지 더 꿀맛 참 전에 생일 때 보내주신 것들도 잘 받았습니다ㅎㅎ 따로 연락 드릴게용 삼성카드 발급 끝 솔직히 카드 종류는 많은데 막 끌리는 혜택들은 없어서 가장 무난한 걸로 선택함 돈모아야 되는데 뭘 해야 조금이라도 아낄수 있는지 모르겠다 혹시 삼잘알분들 카드 추천좀 해주세요~ 응~ 바로 레고 지를거야~ ^^ 군동기배 맞다이 정기

[반도체 기사 모음] 10/24 ~ 10/30 이슈 요약 [내부링크]

#삼성파운드리포럼 '복기'…'4나노 수율 정상화' 강조, 왜? [테크다이브] 삼성파운드리포럼 '복기'…'4나노 수율 정상화' 강조, 왜? [테크다이브] - 선단 공정 수율 자신감 드러내…라인업 대폭 확대 “보여줄게! 완전히 달라진 나~” 가수 에일리가 2012년 10월 발매한 ‘보여줄게’라는 노래의 후렴구인데요. 10년이 지난 현재까지도 여기저기서 쓰일 정도로 명 n.news.naver.com 요약) SEC -> TSMC 대비 4nm 실패 (엑시노스2200, 스냅드래곤8 gen1) + GOS인한 신뢰도 ↓ => 퀄컴, 엔비디아 차세대 제품의 TSMC로의 노선 변경 => 메인 노드(3nm) 아님에도 치명적 후폭풍 -> 4nm 실수 만회 위한 자신감 => 노드 네이밍 및 공정 세분화 (2 → 5) => 작년: 4LPE(Low Power Early) & 4LPP(Low Power Plus) => SF(삼성 파운드리) 브랜딩화: SF4E(4LPE) & SF4(4LPP) + SF4P(4LPP

[안산] 베트남 고향식당 - 반쎄오, 소고기 쌀국수, 챠지오(만두), 볶음쌀국수, 베트남커피, 코코넛 쥬스 [내부링크]

베트남고향식당 경기도 안산시 단원구 중앙대로 443 위치 : 경기 안산시 중앙대로 443 안산역 1번 출구에서 약 300m 주중 (월~금) - 10:00 ~ 22:00, 일 휴무 주말 (토) - 10:00 ~ 22:00, 라스트 오더 = 21:30 오랜만에 만난 동아리 4인방이랑 급번개로 안산 당일치기 여행을 갔다왔다. 점심 먹을만한 곳을 찾아보는데 엄청 유명한 가게가 하나 있는데 베트남 식당으로 유명한 "베트남 고향식당"이다. 수요미식회나 생생정보통 등 많은 방송을 탄곳이라 나름의 시그니처라고 한다. 사실 우리 일행은 안산역에서 다문화거리좀 구경하다가 간거라 삥 둘러서 갔는데 위 사진이 후문이란다. 들어갈 수는 있는데 정상적인 루트가 아니다;;; 여기가 1번출구에서 지도따라 가면 보이는 정문! 후문보다 깔끔하고, 자부심 가득해보인다. 주말 좀 늦은시간에도 사람이 꽤 북적였다. 점심시간이나 저녁시간 맞춰서 갔으면 30~40분정도 기다려야 했을 듯? 사진처럼 내부 역시 아담하게 인테리

22년 하반기 삼성전자 PT, 임원 면접 관련 팁들 [내부링크]

안녕하세요! 어제 지인을 통해서 GSAT 결과가 발표되었다는 것을 듣게되었습니다. 너무 고생 많으셨고, 합격하신분들 정말 축하드립니다. 앞으로 한발자국만 남았으니 조금만 더 힘내셨으면 좋겠습니다. 그런 의미에서 면접에 대해 약간의 팁을 드리고자 부랴부랴 퇴근하고 글을 쓰게 되었습니다ㅎㅎ 특이한건, 이번에 면접 시퀀스는 대면으로 전환으로 인해 저번 상반기와는 다르게 진행된다는 점입니다. 그래서 친절하게 가이드도 첨부되어있습니다! 이 점을 참고하여 설명드리겠습니다. 직무 면접 직무면접 가이드 가장 큰 변화가 있는 직무 면접이 아닐까 싶습니다. 저번과 달리, 삼성전자의 PT 면접이 부활했다고 생각하시면 되겠습니다. 저도 학생 때 하이닉스 PT면접과, 코로나 이전 삼성 면접에 대해서 선배들에게 듣고 준비했던 경험이 있습니다. 이에 근거해서 과거 PT 면접 기준으로 제가 준비했던 것들에 대해서 설명드리겠습니다. 면접 진행 방식 우선 과거 면접 시퀀스는 다음과 같습니다. 사실 가이드를 보면

[반도체 기사 모음] 10/31 ~ 11/6 이슈 요약 [내부링크]

#박용인 삼성전자 사장 "현대차 등과 車 반도체 협력 논의" 박용인 삼성전자 사장 "현대차 등과 車 반도체 협력 논의" 박용인 삼성전자 시스템LSI사업부장(사장)이 현대자동차를 비롯한 자동차 회사와 차량용 반도체 협력 방안을 논의하고 있다고 밝혔다.박 사장은 지난 27일 서울 삼성동 인터컨티... zdnet.co.kr 요약) SEC -> 현대차 등 차량용 반도체 협력 방안 논의 中 => 작년 5월 산통부 - SEC - 현대 - 전자연구원 - 자동차연구원 반도체 수요 공급 위한 연대 협력 => Key: 카메라 이미지 센서 => 작년 6월 아이소셀 HP3 공개 (자동 초점 기능 + 초당 30프레임 8K 초고해상도, 120프레임 4K 고해상도 영상 등) => 올해 양산 예정 + 업계 최초 2억 화소 이미지 센서 출시 계획 #인텔·TSMC 긴축 선언에도…삼성만 '진격의 거인' 왜 [뒷북비즈] 인텔·TSMC 긴축 선언에도…삼성만 '진격의 거인' 왜 [뒷북비즈] 인텔이 2025년까지 약 100

[9월 일상] 새로운 시작 - 삼성전자 입사 SVP 교육 후기 [내부링크]

요즘 교육듣느라 바쁜 관계로 입사한지는 좀 오래됐지만 지금 올림...! 원래 입사일은 7월 둘째주로 예정이었는데, 간수치가 너무 높게 잡혀서 8말로 미뤄졌다. 오픈톡 카더라이긴 한데, 메모리 공기는 상반기 기준으로 7월 둘째랑 8월 말, ??? 로 총 3차수가 잡혀있다고 한다. 그냥 카더라임ㅇㅇ 암튼 내 입사는 정확히 8/29로 SVP는 총 2주간 진행된다. ATTENTION~~~~ 지금 내 기분이 이렇다. 잠시만 즐기게 해줘 교육 진행하기 1~2주 전쯤에 사전 과제하라고 메일이 온다. 과제를 하다보면 "귀찮아질거같다"와 "발표 쫌 하겠다"라는 느낌이 들었는데 진짜였음 많이 한다. 이번년도는 작년과는 다르게 1주는 온라인, 나머지 1주는 오프라인으로 진행한다고 한다. High Tention~~ 우리 차수는 전부 삼성전자 DS, DX 인원들이다. 신기한건 전부 중고신입들... 역시나 처음은 어색어색하지, 가뜩이나 온라인이라 더 힘들긴 했다. 하지만 활동하면서 점점 자연스럽게 친해진 느

[반도체 기사 모음] 10/17 ~ 10/23 이슈 요약 [내부링크]

#TSMC·마이크론·키옥시아 줄줄이 감산...삼성전자는? TSMC·마이크론·키옥시아 줄줄이 감산...삼성전자는? 세계 주요 반도체 회사들이 잇따라 공급량을 줄이며 감산 체제를 준비 중에 있다. 재고가 쌓인 가운데 수요가 살아날 기미가 안 보여서다. 반면 삼성전자는 인위적인 감산보다는 ... zdnet.co.kr 요약) 반도체 업계 -> 감산 체제 대비 (구요 감소에 따른 공급량 ↓) => 지난 달 수출액 116억 7천만 달러 (작년 대비 4.6% ↓) => 메모리 수출액 66억 1천만 달러 (작년 대비 16.8% ↓) => 고정 거래 가격 하락 및 전방 산업 수요 축소 원인 => 3분기 PC 6천 800만대 출하 (작년 대비 19.5% ↓) => 코로나 반사 이익 ↓ + 기준 금리 ↑ TSMC -> 설비 투자 목표액 360억 달러 (기준 대비 10% ↓) SEC -> 공격적 투자 강행 #삼성전자, 차세대 커패시터 'CCW' 개발 추진…HPC·5G 시장 겨냥 삼성전자, 차세대 커패시터

[서울] 2022 한강 브릿지워크 20km 완보 [내부링크]

언젠가 한번은 해보고싶었던 한강 걷기 우연히 지인들의 소개로 함께 참여하게 되었다. 어반스포츠 한강나이트워크42K, 한강크로스스위밍챌린지, 한강나이트워크, 어반스포츠, 부산나이트워크, 브릿지워크서울 urbansports.kr [어반스포츠]라는 곳에서 주최하는 터라, 위 사이트에서 예약했다. 얼리버드는 8월 말부터, 정규 티케팅은 3차례에 거쳐서 9월 말까지 진행하는데 어짜피 예약할거 돈도 아끼고 신경쓰고싶지 않아서 얼리버드로 ㄱㄱ 예약해서 다행이긴 한데 조기마감해서 식겁... 날짜는 10/15 토요일 시간은 신청 거리에 따라 다르다 10km은 감질날 것 같아서 바로 20km로 신청했다 선유도공원 서울특별시 영등포구 선유로 343 당일 시작점은 선유도 공원에서 진행한다고 했다. 위에 대략 20km 코스는 [서강-마포-서강-양화대교] 지금 생각해보면 체감상 20km 더되는 거 같다. 저건 약 2주전에 주는 사전 물품 돗자리랑 숄더백, 번호, 지도로 이루어져있다. 돗자리는 왜 주나 싶었는

[강화도/파주 여행] 1박 2일 - 쌈밥이네, 라르고빌, 석모도 민머루해수욕장, 조양방직, 파크뷰테라스 호텔, 빛오름 공원 [내부링크]

입사까지 아직도 3주 가량이 남아있어서 뭘 할까 고민하던 중 갑자기 바다가 보고싶어 출발한 즉흥 여행. 시작은 인천 강화도 해수욕장으로 가서 구체적인건 정하기로 했다. 사실, J인 성격상 계획을 세워야 맘이 편해서 설득끝에(?) 여행전에 간단하게 세우고 유연하게 대처하기로 함. 쌈밥이네 인천광역시 강화군 화도면 해안남로 2674 쌈밥이네 강화도 도착하자마자 바로 점심 먹으러 맛집부터 찾아봤다. 가볍게 정식을 기준으로 메뉴를 정해놓고, 이리저리 식당들을 둘러보는데 유난히 사람들이 웨이팅 하는 곳이 있더라. 점심 때라서 그런가, 진짜 지옥이다... 40분정도 밖에서 기다리고 들어가니, 내부도 시장통같이 북적였다. 그만큼 맛있겠지? 라는 기대를 가졌다. 당연히 시그니쳐 메뉴인 간장 제육과 고추장 제육 정식. 쌈은 무한 셀프로 가져다 먹을수 있는게 좋았다. 제육 맛은 중상정도? 그냥저냥 점심으로 쏘쏘한 느낌! 근데 밑반찬 상태가 영.... 뭔가 말라 비틀어진거보고 손도 안댔다.. 카페라르고

[반도체 기사 모음] 8/7 ~ 8/14 이슈 요약 [내부링크]

# '車반도체 독립' 선언한 현대차그룹..현대모비스 개발 전략은? '車반도체 독립' 선언한 현대차그룹..현대모비스 개발 전략은? (서울=뉴스1) 권혜정 이세현 기자 = 차량용 반도체 공급난이 2년 넘게 이어진 가운데 현대자동차그룹이 차량용 반도체 직접 개발 대열에 합류했다. 자동차 부품 계열사인 현대모비스가 차량용 반도체 자체 개발을 나섰다. 전기차 등 전동화 시대를 맞아 중요성이 한층 커진 반도체를 내재화함으로써 반도체 공급난과 같은 리스크를 차단하고 미래 모빌리티 경쟁력을 강 auto.v.daum.net 요약) 현대모비스 -> 차량용 반도체 자체 개발 선언 => 반도체 내재화를 통한 반도체 공급난 등 리스크 차단 + 미래 모빌리티 경쟁력 강화 -> 향후 직접 설계 또는 팹리스 업체 인수 방안 + 위탁생산 고려 (투트랙 채제) * 차량반도체 -> 자동차에 약 500~1000개 반도체가 필요. => 내연기관차의 최대 3배 수준 -> 전력 반도체 - 전기차의 주행거리 향상 고성능 반도

[대전 여행] 당일치기 - 식장산 전망대 [내부링크]

대전에 잠깐 하루정도 머무를 일이 있어서 밤에 뭐 할꺼 없나 찾아보다가 식장산이라는 곳이 야경이 그렇게 좋다더라! 해돋이전망대 대전광역시 동구 낭월동 산2-1 식장산 대전광역시 동구 대성동 식장산 대전 밤 도시 예전부터 느낀건데, 대전은 밤되면 항상 건물에 불을 키더라. 차로 가는데도 소소한 구경거리가 많았다. 예전에 갔던 홍콩 스멜이 난다. 산 올라가다가 본거 저녁 늦게 도착해서 그런지 주변이 다 시꺼멓었다. 입구도 어딘지 해맨듯 여튼 도착하니까 전망대까지 걸어서 올라가라고 하더라ㅋㅋㅋ 부슬비까지 내리니 환장~ 정상까지 올라가면 이런 한옥st스러운 건물이 하나 있다. 이게 전망대라고 한다. 비까지 내리는데 사람이 은근히 많았다. ㅎㅇ! 전망대 옆엔 지도 게시판과 의자가 있는데, 아마 낮에 도시락 까먹게끔 설치한거 같다. 또 주변을 둘러보는데, 누가 야영하나 헬기착륙장에 텐트가 하나 있다. 사실 사람보다 풍경을 찍는걸 더 좋아해서 이 광경이 신기해서 찍었는데 인생샷 나옴 역시 대전하

[반도체 기사 모음] 8/15 ~ 8/21 이슈 요약 [내부링크]

#삼성·SK 앞세운 韓 D램, 2분기도 '부동의 1위'…시장 전망은 '우울' 삼성·SK 앞세운 韓 D램, 2분기도 '부동의 1위'…시장 전망은 '우울' 사실 앞에 겸손한 민영 종합 뉴스통신사 뉴스1 www.news1.kr 요약) 22년 D램 시장 -> 2분기 총매출 255억 9000만 달러 (전 분기 대비 6.5%↑) (BY 트렌드포스) -> SEC, SKH, MICRON 전분기 대비 5~10% 출하량 증가 => 글로벌 인플레이션 + 경기 침체 => PC·모바일용 D램 수요 감소 BUT => 서버용 D램 수요 ↑ => 전체 매출 증가 -> 3분기 하락 예상 => 인플레이션 + 반도체 공급 과잉화 => D램 가격 하락 전망 SEC -> D램 매출 111억 3000만달러 (전 분기 대비 6.4%↑) + 영업이익률 50% -> 시장점유율 43.5% (전체 1위) SKH -> D램 매출 70억 1100만달러 (전 분기 대비 7.0%↑) + 영업이익률 43% -> 시장점유율 27.4% (전

[반도체 기사 모음] 8/22 ~ 8/28 이슈 요약 [내부링크]

#[단독] 이재용 '인재확보' 특명…반도체 사장단도 총출동 [단독] 이재용 '인재확보' 특명…반도체 사장단도 총출동 글로벌 반도체 기술 경쟁이 ‘인력 확보전’ 양상으로 전개되는 가운데 삼성전자(005930)가 반도체(DS) 부문 사장들을 총동원해 석·박사급 우수 인재 확보에 나섰다. 이재용 삼성전자 부회장이 복권된 뒤 19일에 열 n.news.naver.com 요약) SEC -> JY 복권 후 사업부문장 직접 석·박사급 우수 인재 확보 발품 => 최상위 5 대학(SPKKY) T&C 개최 => Intel, Micron 등 인재 유출 방지 #이정배 삼성전자 사장 "메모리반도체 매출, 2027년 1200억달러 목표" [단독]이정배 삼성전자 사장 "메모리반도체 매출, 2027년 1200억달러 목표" “30년 동안 1등 자리를 지켜오고 있는 메모리반도체 사업을 앞으로도 계속 잘하려면 어떻게 해야 할까요. 현재 사업에 머무르지 않고 신규 솔루션 분야에서도 질적 성장을 추구함으로써 2027년 1

[서초] 우나유 - 히츠마부시 (장어덮밥 정식) [내부링크]

우나유 서울특별시 서초구 서운로11길 35 1층 위치 : 서울 서초구 서운로11길 35 1층 양재역 1번 출구에서 약 800m 주중 (월~금) - 11:00 ~ 22:00 주말 (토, 일) - 08:00 ~ 22:00, 라스트 오더 = 21:00 브레이크 타임 - 15:00 ~ 17:00 서울에 온 김에 어디서 밥먹을까 고민하다 장어집에 들어갔다. 요즘 몸상태가 굉장히 안좋다고 느껴진다. 기력 회복을 위해 여길 찾자마자 여기로 초이스했다. 우나유 역에서 꽤 떨어져있는데, 10분동안 쭉 가다가 골목에 들어가서 5분 걸으면 우나유 입구가 보인다. 확실히 강남 골목이라 그런가, 차가 많고 사람도 웨이팅할 정도 미리 예약해서 다행이다. 메뉴 메뉴를 전부 찍어봤는데, 종류가 되게 다양한 편이다. 식사 말고도 저녁에 퇴근하고 사케 한잔 할 때 와도 괜찮을 듯. 가격은 장어 치곤 좀 싼편이라 생각한다. 아닌가?? 히츠마부시 1마리 정식 주문은 히츠마부시 1마리 정식 (35,000)로 구성은 장어

22년 上 삼성전자 최종 합격 준비 과정 (3) 면접 준비 및 후기 [내부링크]

22년 上 삼성전자 최종 합격 준비 과정 (1) 서류 이번에 정말 운좋게도 삼성전자에 최종합격하게 되었습니다. 그리고 현재 회사도 무사히 퇴사하게 되었습니... blog.naver.com 22년 上 삼성전자 최종 합격 준비 과정 (2) GSAT 이어서 계속됩니다. 코로나 이전에는 GSAT이 정말 큰 난관이었다면, 코로나 이후에는 상대적으로 널널... blog.naver.com 이어서 계속됩니다. 자, 이제 진짜 난관만 남았습니다. 공대생 모두의 꿈인 삼성전자에 들어가기 위해서 면접을 철저히 준비해야 합니다. 사실 면접이라는건 면접관/컨디션에 따라 난이도가 극적으로 바뀌기 때문에 어느정도 운이 필요하다고 생각합니다. 그래도 기본적인 것들을 충분히 준비해가면 어려운 면접도 극복할 수 있습니다. 삼성뿐만 아니라 DB 등 여러 기업에서의 면접 경험을 토대로 제가 준비했던 방법을 알려드리겠습니다. 면접 대비법 ①. 면접 스터디 "면접스터디 = 취뽀의 지름길" 이라고 자부할 수 있습니다. 저는

[강남] 구스아일랜드 브루하우스 - 수제맥주, 푸틴, 쪽갈비튀김 [내부링크]

구스아일랜드 브루하우스 서울특별시 강남구 역삼로 118 위치 : 서울 강남구 역삼로 118 강남역 4번 출구에서 약 500m 주중 (월~금) - 11:00 ~ 24:00 주말 (토) - 10:30 ~ 24:00, 일 휴무 라스트 오더 = 23:00 간만에 여유로운 시간이 생겨 맥주집을 가기로 했다. 가장 가기 쉬운 곳이 강남이라, 근처에 가벼이 이야기할 곳이 없나 찾아보다가 좋은 곳을 추천받았다. 이름이 Goose가 들어간거 답게 입구에 거위 모가지가 떡하니 달려있다. 저기에 사람들이 사진찍고 다니던데 포토존인거 같다. 한 7시 쯤에 가니까 사람이 어마어마하게 많았다. 입구에 웨이팅 명부를 적고 가게 내부를 구경다녔는데 전체적으로 깔끔한 전통식 서구 펍 느낌이 난다. 수제 맥주 전문점답게 수많은 상장과 시그니처 맥주들이 나열되어 있다. 자부심이 아주 뿜뿜한 듯 한 40분 정도 기다리고 난 후 테이블은 자리가 없어 쉐프들을 마주볼 수 있는 자리로 안내받았다. 스시집 가면 오마카세 받는

[반도체 기사 모음] 7/27 ~ 8/6 이슈 요약 [내부링크]

# [컨콜종합] LG디스플레이 "수익 안 나는 사업은 조정…LCD 국내 생산 중단" [컨콜종합] LG디스플레이 "수익 안 나는 사업은 조정…LCD 국내 생산 중단" LG디스플레이가 2년 만에 적자를 본 가운데 국내 TV용 액정표시장치(LCD) 패널 생산을 내년까지 중단하기로 했다. 시장 불확실성이 커지며 디스플레이 업황이 악화되고 있는 상황에서 수익성이 낮은 사업은 조정하겠다는 n.news.naver.com 요약) LGD -> 2분기 매출 5조6천73억원 (전년 동기 대비 19.5% 감소) 영업손실 4천883억원 (2년만에 적자 전환) => 중국 도시 봉쇄령 연장 + LCD 판가 하락 -> 출하량 감소 => 내년까지 LCD 패널 생산 중단 => 중국 LCD 공장 中 TV 생산능력(CAPA) 중 일부는 IT용으로 전환 예정 => TV용 LCD 판가는 3분기까지 하락세, 4분기 안정화 예상 -> 하반기 OLED 중심으로 성과 확대 계획 => 올해 상반기 판매가 20% 중반 규모 성장 =

[22년 上] [2/3월] 서류 지원 및 결과 후기 (2) (삼성전자, DB 하이텍, TES, 연구원 최종 합격 외...) [내부링크]

[22년 上] [1월] 근황 겸 서류 지원 후기 (1) 안녕하심니까!!!! 진짜 오랜만에 글 작성하는 거 같습니다. 블로그 관리를 꾸준히 했어야했는데, 몸이 안좋... blog.naver.com 2~3월 지원 결과 + 나머지 후기입니다. 안녕하세요~ 한참 여유로울 시기에 오랜만에 블로그에 들려봅니다. 일단 글 썼던것들은 마무리지어야겠다는 생각으로 나머지도 정리했습니다. 서류지원 . 나노종합기술원 상반기 계약직 (9개월) (최합) . 한국화학연구원 상반기 인턴 (최합) . TES (최합) . 한화기계 [R&D] 인턴 . 네페스 상반기 인턴 . 국제 엘렉트릭(고쿠사이) . 원익 IPS . MEMC 코리아 . 히타치 하이테크 코리아 . LG 디스플레이 . SK 하이닉스 . DB 하이텍 (최합) (포스팅) . 온세미컨덕터 . 삼성전자 메모리사업부 (최합) (포스팅) , 상반기 나노종합기술원/한국화학연구원 인턴 및 계약직 서류 합격 - 면접- 최종합격 (입사 포기) 음.. 우선 이때는 유진테

[22년 上] 이직성공! DB 하이텍 최종합격! [내부링크]

입사 후 여느 때와 다름없이 회사 업무를 배워가던 중... 모르는 번호로 전화가 한통 왔습니다. "DB 하이텍 인사팀 000입니다. 혹시 입사 여부에 대해...." 와 순간 소리 지를 뻔했었네요. "DB 하이텍은 도대체 누가 되는거냐? 사람 뽑긴 하냐?" 소리를 제 주위뿐만 아니라 취업 오픈톡방에서 지겹도록 듣던게 DB인데, 최종 합격하게 되었고, 칩메이커로 이직하게 되었네요! 서류 준비 DB 하이텍은 국내 2위 파운드리 칩메이커이자 8인치 제품으로 아주 유명한 기업입니다. 처음 DB를 지원할 때 공정 개발과 공정 기술 직무를 고민하였고, "안그래도 안뽑는 기업인데 개발쓰면 100% 떨어질거다"라고 생각해서 공정 기술을 지원하게 되었습니다. 공정 지망 1,2순위는 당연히 박막과 관련된 공정을 선택하였고요! 자소서 문항은 대기업답지 않게 정말 단순합니다. [인재상을 통한 성취감 경험], [직무 강점 및 향상 경험], [입사 동기 및 향후 계획], 3가지로 크게 어려운 문항은 없습니다.

[22년 上] 삼성전자 메모리 공정기술 서류 합격 [내부링크]

22.03.11 서류 접수 ~ 22.03.21 서류 마감 22.04.19 서류 발표 후... 어찌어찌 1차는 통과했네요. 퇴근하고 gsat 조지러 갑니다. 한달뒤에 보자

[22년 上] 삼성전자 메모리 공정기술 gsat 합격 [내부링크]

22.05.08 예비모집 22.05.15 gsat 본고사 22.05.27 gsat 결과발표 22.06.03 금 오전 면접 시작 수리 : 16/17 추리 : 24/24 gsat은 무난하게 합격할거라 생각했는데.. 면접 날짜 뽑기운이ㅠㅠ 쫌만 더 버티자 제발...

[22년 上] 삼성전자 메모리 공정기술 최종 합격 [내부링크]

22.06.03 금 오전 면접 시작 22.06.29 오후 6시 최종발표- 합격 오ㅐ 붙었는지 아직도 모르겠다. 간절함을 봐주신건가. 꿈의 회사에 합격한게 아직도 믿기지 않는다ㅠㅠ 진짜 열심히 다니겠습니다ㅠㅠㅠㅠㅠ

22년 上 삼성전자 최종 합격 준비 과정 (1) 스펙 및 서류 [내부링크]

이번에 정말 운좋게도 삼성전자에 최종합격하게 되었습니다. 그리고 현재 회사도 무사히 퇴사하게 되었습니다... 시간이 상당히 여유로운 시점이라 글을 작성할 수 있게 되었네요ㅎㅎ 과거 취준생시절부터 다른 블로거들을 참고하면서 스펙적인 부분, 자소서 작성법, 직무 등 큰 틀을 짜는데 정말 많은 도움을 받았습니다. 이제는 저도 삼성전자에 입사하게 된 현직자로서 이전에 쌓아왔던 노하우를 까먹기 전에 작성해서, 미래에 입사하게 될 꿈나무들을 위해 길잡이가 될 수 있는 글을 써볼까 합니다. 많은 분들이 삼성전자를 준비하실텐데 이 글이 도움이 됐으면 좋겠네요ㅎㅎㅎ 혹시나 궁금하신 점을 댓글을 남겨주시면 제가 시간날 때 답변 드리도록 하겠습니다. 이력서 스펙 전공: 신소재공학 학점: 4.18/4.5 (전공: 4.23 / 4.5) 공모전: xxxxxx (탈락 스토리는 면접 준비) 인턴: 한국전력연구원(IPP)(6개월) - 빅데이터 관련 프로젝트 + SEM 표면 데이터 취득 업무 학부연구생: CVD 그

22년 上 삼성전자 최종 합격 준비 과정 (2) GSAT [내부링크]

22년 上 삼성전자 최종 합격 준비 과정 (1) 서류 이번에 정말 운좋게도 삼성전자에 최종합격하게 되었습니다. 그리고 현재 회사도 무사히 퇴사하게 되었습니... blog.naver.com 이어서 계속됩니다. 코로나 이전에는 GSAT이 정말 큰 난관이었다면, 코로나 이후에는 상대적으로 널널한 전형이 되었습니다. 하지만 그렇다고 해서 누군가는 안떨어진다는 법은 없으니, 반드시 대비해야 합니다. 개인마다 상대적으로 편차가 크기때문에 언제 준비해야되냐는 질문에는 대답드리기 힘듭니다. 저의 경우를 설명 드리겠습니다. 인적성에 대해서 노베이스일 떄 서류를 준비하면서 같이 대비하였으며, 이미 난 경험이 있다! 하시는 분들은 서류를 붙고 하시는걸 추천드리고 싶습니다. 즉, 정리하자면 ~ 서류 제출일 까지 노베이스일 경우 - 문제 풀이법 숙지 및 정리, 일주일에 3~4set 모의고사 진행 서류제출일 ~ GSAT 시작 일주일 전 - 1일 1SET 모의고사 진행 ~ 실전 GSAT - 1일 2SET 모의

[양재] 카페 쿠오레 에스프레소 - 흑임자라떼, 당근케익 [내부링크]

쿠오레에스프레소 서울특별시 서초구 강남대로 275 강남 MAIN TOWER 위치 : 양재역 2번출구에서 8분거리 CU 옆 주중 (월~금) - 08:00 ~ 22:00 주말 (토, 일) - 08:00 ~ 21:00 간수치 오버 이슈로 인해 7월 초 입사 예정이었던게, 8월 말 입사로 미뤄지면서 여유가 굉장히 많아졌다. 한 2주동안은 못만났던 사람들을 만나고 다녔는데, 그러면서 발견한 소소한 갬성카페이다. 난 사진을 잘찍는 사람이 아니라.. 그냥저냥 보면서 지나가길ㅎㅎ 쿠오레 에스프레소 입구 양재역 2번출구에 나와서 그대로 쭊쭊 걸어나오다보면 아기자기한 입구가 보인다. 1층 대충 1층 내부는 이렇다. 사실 정면 사진을 찍었어야 했는데, 사진이 저장이 안됬나보다. 메뉴판은 키오스크와 커다랗게 벽에 달려있는 거 2개가 있다. 요즘은 키오스크가 대세지... 젋은이 답게 키오스크로 주문함 주문한거 좀 기다려야 한다길레, 2층 구경하러 올라갔다. 갬성 카페답게 2층도 아기자기하게 꾸며놨다. 특히

[제주도 여행] 3일차 일기 (약천사, 단소식당, 제주마음샌드) [내부링크]

[제주도 여행] 2일차 일기 (오설록, 몬스터살롱, 제이제이 그랑블루, 동문시장) 어제 새벽부터 제주도로 달려와서 그런가... 숙소에 들어오자마자 뻗어서 10시에 일어났다.. 더이상 게으름... blog.naver.com 마지막 날이다..... 비행기 시간까지 여유로워서 푹 쉬고 널널히 출발했다. 마지막으로 갈곳은 "약천사"로 동양 최대의 건축물과, 약수물로 유명한 곳이다. 지금은 우한바이러스땜에 약수물 섭취는 금지되었지만, 템플스테이나 기타 활동은 계속 하는거 같았다. 약천사 제주특별자치도 서귀포시 이어도로 293-28 길가다 보면 절 특유의 건물과 길이 이렇게 나있다. 중간중간 나무에 귤도 매달려있는걸 볼 수 있다. 저 사진이 동양 최대 크기의 절이라고 한다. 생각보다? 크지 않았다고 느껴졌는데, 안에 들어가보니까 아니더라.... 여튼 안에서 제발 원하는 기업에 취직해달라고 절도 하고, 인사도 드렸다. 이후 출구로 나오면 기왓장에 소원?쓰는 장소가 있다. 물론 거기에 내 소망도

[22년 上] [1월] 근황 겸 서류 지원 후기 (1) [내부링크]

안녕하심니까!!!! 진짜 오랜만에 글 작성하는 거 같습니다. 블로그 관리를 꾸준히 했어야했는데, 몸이 안좋아서 일주일간 앓은적이 있었고 일도 많고, 변명도 많습니다~ 어쨋든 저는 제주도 갔다온 다음날 친형이랑 헬스갔다가 상한 고기로 단백질 보충을 잘못해서 그런지 위병이 났었습니다ㅎㅎ 그래서 누워서 손가락만 움직일 수 있는 자소서만 빡집중해서 쓰고 제출했네요ㅠ. 새어보니까 12월 중순부터 시작해서 총 14개 썼네요 ㅎㅎ 1월이라 그런지 대기업급 공고는 거의 없고 중견급이 많았던걸로 기억합니다. 그래서 오늘은 12월 중순부터 지금까지 썼던 자소서 및 면접 결과를 정리하고자 합니다. 서류 지원 . 유진테크 (포스팅) . 고려아연 . 21년 SEE 장비사관학교 연구장비 교육 . 현대케피코 . 나노종합기술원 상반기 계약직 (9개월) . 한국화학연구원 상반기 인턴 . 매그나칩 반도체 . 네페스 상반기 인턴 . TES 1, TES 2 . 나노종합기술원 나노전문인력양성과정 9기 . TEL . 해성

[22년 上] 나노종합기술원 나노전문인력양성과정 9기 최종합격 [내부링크]

살펴보시오. 월간일정 전체 | 교육일정 및 신청 | 교육안내 및 신청 | 나노종합기술원 | | | 나노종합기술원 연간 교육 일정 월 교육 과정명 교육 대상자 모집기간 교육기간 1월 교육종료 2월 나노종합기술원 개방장비 대상 직접 사용자 교육생 모집 재직자, 학생 2022-01-24~2022-01-28 2022.02.16 교육종료 나노전문인력양성 및 일자리지원사업 (9기) 이공계 대학 졸업 및 미취업자 (졸업예정자 포함) 2022-01-07~2022-01-18 2022. 2. 14 ~ 7. 29 2월 3월 교육예정 나노전문인력양성 및 일자리지원사업 (10기) 이공계 대졸 미취업자 및 졸업예정자 2022-03-07~2022-03-18 2022.0... www.nnfc.re.kr 사실 원래는 이전에 모집하던 "180nm logic"(2개월) 과정 에 지원하려 했으나 청년 Hyfive + 제주도 여행으로 인해 서류를 제출하지 못했다. 그래도 꿩대신 닭이라고, 마침 1월에 나노종합기술원에서

[22년 上] 취뽀성공! 유진테크 최종합격! [내부링크]

작년에 본 쓴 맛을 뒤로하고, 올해 재정비해서 이곳저곳 지원하고, 인적성공부하고보니... 작년 하반기부터 시작한 취준생활은 올해 졸업 일주일을 남기고 나름(?) 해피엔딩으로 끝났습니다ㅎㅎ 준비한다고 했던 S전자와 H닉스의 충격적인 탈락에 좌절하고 거의 칩거하다 싶이 있었는데, 차선책으로 제 경험과 전공을 살릴수 있는 좋은 기업에 합격하게 되었네요ㅠㅠ 진짜 졸업 선물로 큰걸 받은 기분입니다. 후련하고, 행복하네요ㅎㅎ 서류 준비 제가 합격한 유진테크는 국내 4대 장비사로 LPCVD와 ALD 등 증착장비 대가로 나름 이름있는 중견 기업입니다! 작년부터 저의 전공과 학부연구생 경험, 그동안의 교육 경험을 살릴수 있는 직무는 어딜까 하고 항상 고민해왔습니다. 결국 저는 반도체 전공정 중 증착쪽을 선택했고, 그 중 개발쪽을 선택했습니다. 처음 개발 직무를 지원할 때, "이름만 들어선 석사들이 갈만한데 아닌가?"하고 잠시 쫀적이 있습니다. 그리고 실제로 이 직무에서는 석사를 우대해주기도 하고요!

[세미콘 코리아2022] 삼성 "시스템 반도체에도 3D 적층기술 도입될 것" [내부링크]

https://m.etnews.com/20220209000223 [세미콘 코리아2022]삼성 "시스템 반도체에도 3D 적층기술 도입될 것" 세미콘코리아 2022에는 차세대 시스템 반도체 공정과 관련한 미래 기술 트렌드도 소개됐다. 공정 분야 노드 발전에 발전에 맞춰 게이트올어라운드(GAA)와 같은 트랜지스터 구조변화와 함께 시스템 반도체에서도 적... m.etnews.com 요약) 삼성전자 차세대 시스템 반도체 - GAA와 같은 구조 변화 + 3D 적층 기술 대안 - EUV 후 쌓아올리면서 => 면적, 소비전력 효율 ↑ => 3nm 이하 한계 극복 대안 - 메모리 쪽에선 획일화 but 파운드리쪽에선 연구 진행

“이걸로 대만 반도체 추월 가능?” 삼성에 추가된 ‘신무기’ 뭐길래 [내부링크]

https://n.news.naver.com/article/016/0001949251 “이걸로 대만 반도체 추월 가능?” 삼성에 추가된 ‘신무기’ 뭐길래 [헤럴드경제=김지헌 기자] 램리서치가 차세대 트랜지스터 구조 ‘게이트올어라운드(GAA)’ 공정에 활용할 수 있는 반도체 식각 장비 신제품을 개발해 한국 생산 기지를 통해 삼성전자에 공급할 예정이라고 10일 밝혔다. n.news.naver.com 요약) 램 리서치 - 파운드리 GAA에 활용가능한 식각 장비 개발 - HAR에서 나노시트, 나노와이어 형성 기술 => 평면에서 3D 구조로 도약 필수 기술 제품 - Argos : 웨이퍼 표면 처리 + 오염 제거 Prevos : 산화막, 금속막을 원자층으로 식각 (ALE) Selis : 웨이퍼 표면을 손상 방지하며 공정간 대기 시간을 최소화

코멘토 직무부트캠프 - 반도체 공정 R&D 늦은후기 (feat. 모교) [내부링크]

때는 하이파이브 교육 중, 우리 학교 홈피를 들가봤더니 코멘토와 연계해서 직무부트 캠프를 선발한다고 공지가 올라왔었다. 코멘토를 학교돈 주고 공짜로 듣게 해주니 당연히 신청해야겠다는 생각을 가졌다. 직무부트캠프 분야는 당연히 반도체 공정뿐만 아니라 베터리 관리, 마케팅 등 5가지 분야에서 모집을 했고, 당연히 사람이 몰릴거라 생각했는지, 지원란에 자소서를 써오란다. 무슨 교육도 자소서가 필요하냐... 성심성의껏 쓰고 며칠이 지나니 합격문자와 함께 8명이 선발되었다!! 경쟁률은 총 합쳐서 3대1이지만, 반도체쪽이 아무래도 몰린다고 했으니 더 높을것이다. 이거보면 듣고싶어도 못듣는게 안타깝다는 생각이 들었다. 취업난ㅠ 여튼 본격적으로, 내가 참여한 정식 명칭은 [반도체 공정 R&D와 양산기술 실무 : Data 분석과 공정 이력조사]이다. 실제 칩메이커 R&D 현직자분이 실무 체험을 할 수 있게 약 5주간 커리큘럼을 짜놓으셨다. 1주차 : OT + 공정 엔지니어의 역할 및 구체적 직무 이

청년 하이파이브(Hy-five) 6기 직무교육 4주차 최종 후기 [내부링크]

청년 하이파이브(Hy-five) 6기 직무교육 2,3주차 후기 1주차 마치고쯤 주말이었나? 택배가 하나 도착해있었다. 청년 하이파이브에서 보낸 "웰컴 키트" ... m.blog.naver.com 마지막 4주차는 엑셀, 문서작성, ppt 등으로 수업을 진행했다. ppt가 뭐 해봤자 아닌가..? 라는 생각이 잠깐 들었지만, 직장인 입장에서 ppt자료를 만드는게 정말 중요하다고 느낀 시간이었다. 특히 가장 흥미롭게 수업 들은거는 엑셀이다. 엑셀로 그래프만드는거 밖에 못했었는데, 회사에서 많이 쓰이는 vlookup함수나 절대참조값 등 핵심만 알기쉽게 알려주셨다. 거기다 강사님들이 열정 가득 텐션 가득해서 좀더 몰입감있게 배웠던거 같음 마지막 날에는 대망의 수료식을 진행했다. 지금까지 이벤트로 얻은 스티커들로 수상자들을 선정한듯하다. 사실 잘 모르겠다.... 복합적인게 있겠지? 상 종류도 다양하게 있었는데, 나는 환상 이라는 걸 받았다. 환상적인 글솜씨란다ㅋㅋㅋㅋㅋ 센스쟁이ㅎㅎ 블로그에 글쓰

메모리에 AI기능 이식…차세대 반도체로 또 앞서간다 [내부링크]

https://www.mk.co.kr/news/economy/view/2021/12/1222026/ 메모리에 AI기능 이식…차세대 반도체로 또 앞서간다 세계 반도체 최전선 삼성전자 화성캠퍼스 데이터 180ZB 폭증 시대 반도체 산업 대전환 요구 `이건희 10계명` 이어받아 세계 첫 메모리에 AI 탑재 빅테크 반도체와 차별화 내년부터 고객사에 공급 자율주행차 센서 등 적용 www.mk.co.kr 요약) 데이터 폭증 시대에 맞춰 반도체 대전환 필요 - CPU-메모리간 병목현상으로 인한 기술적 한계 (폰노이만 한계) 삼성전자 - 세계 첫 메모리에 AI 탑재 + 차후 자율주행차 센서 등 적용 예정 - 차세대 기술 => PIM 제품 : 메모리 속 연산기능 -> 처리 속도, 에너지 효율 ↑ HKMG : 누설전류 감소 CXL 인터페이스 : 메모리 연산 확장 => HBM2에 적용 -> 성능 2.5배 ↑ + 에너지 사용량 60%↓ + 설비교체 & 업그레이드 필요x

에스앤에스텍, 차세대 EUV용 블랭크마스크 양산 앞두고 '결함' 개선에 총력 [내부링크]

http://www.thelec.kr/news/articleView.html?idxno=15588 에스앤에스텍, 차세대 EUV용 블랭크마스크 양산 앞두고 '결함' 개선에 총력 - 전자부품 전문 미디어 디일렉 에스앤에스텍이 EUV용 블랭크마스크 대량 양산을 위한 준비작업에 속도를 내고 있다. 마스크 상의 파티클 등 결함을 개선하는 데 총력을 기울이고 있다. 이를 위해 올해 마스크 상에서 검출되는 결함 수를 크게 ... www.thelec.kr 요약) 에스엔에스텍 - EUV용 블랭크 마스크 대량 양산 준비 (2024 목표) => Mo-Si Multi Layer + 흡수체 (Ta 합금) 코팅으로 제작 => EUV 흡수도 향상 위해 High-K 마스크, 빛 변형 방지 PSM 동시 개발 - 표면 결함 / Multi Layer 검사 가능한 신규 장비 도입 + 30nm 이상 Defect 100개 이하 개선

[제주도 여행] 1일차 일기 (돌집식당, 카멜리아힐, 흑돼지킹 등) [내부링크]

Hy-FIve도 끝났고, 기말도, 첫 취준도 끝났겠다.... 그동안 지친 멘탈을 힐링하기 위해서 여행을 가기로 마음먹었다. 해외로 가고싶었지만, 그놈의 우한바이러스가 끝날 기미가 안보여서 제주도로 티켓 예약을 때려버렸다. 새벽 6시 40분에 비행기에 탑승해야되서 잠도 못자고 집에서 4시에 김포로 출발했다. 덕분에 비행기에서 코골면서 잤다고 하던데, 좀 깨워주지 이야 그래도 딱 새벽에 뱅기를 타다보니까 바깥 노을하나는 끝내줬던 걸로, 피곤한 만큼 좋은 하늘 구경을 한 듯하다 크리스마스 직후에 와서 그런가 하르방에 센스있게 빨간 목도리하고 모자가 씌워져있다. 이걸 보니 제주도로 왔다는게 실감이 났다. 제주 공항에 도착하자마자 예약한 렌트카를 받으러 픽업 버스로 타고 갔다. 우리가 빌린 렌트카는 "제주로 렌트카"로 나름 괜찮은 가격에 빌렸다. 그래도 비싸다 제주로렌트카 제주특별자치도 제주시 오일장중길 128 제주도갈땐 제주로렌트카 제주도여행 꼭필요한!!! 렌트카 이곳 www.jejuror

[제주도 여행] 2일차 일기 (오설록, 몬스터살롱, 제이제이 그랑블루, 동문시장) [내부링크]

[제주도 여행] 1일차 일기 (돌집식당, 카멜리아힐, 흑돼지킹 등) Hy-FIve도 끝났고, 기말도, 첫 취준도 끝났겠다.... 그동안 지친 멘탈을 힐링하기 위해서 여행을 가기로... blog.naver.com 전날 새벽부터 제주도로 달려와서 그런가... 숙소에 들어오자마자 뻗어서 10시에 일어났다.. 더이상 게으름피면 안될거같아서 후다닥 움직였다. 오설록 티 뮤지엄 제주특별자치도 서귀포시 안덕면 신화역사로 15 오설록 오설록 티 뮤지엄스톤 제주특별자치도 서귀포시 안덕면 신화역사로 15 오설록 티 뮤지엄입구 제주특별자치도 서귀포시 안덕면 서광리 이번에 갈곳은 제주 녹차와 화장품의 콜라보로 유명한 "오설록"이다. 뭔가 네츄럴하면서 초록초록한 녹차가 입구에서부터 보인다. 날 씨봐라... 음 난 단순하게 건물 하나 띡 있을줄 알았는데, 그게 아니었다. 공원 코스처럼 꾸며져있었고, 중간중간에 포토존이나 카페 등 다양한 건물들이 많았다. 위 사진처럼 생각보다 넓어서 식후 산책 코스로도 좋을

청년 하이파이브(Hy-five) 6기 직무교육 2,3주차 후기 [내부링크]

청년 하이파이브(Hy-five) 6기 직무교육 1주차 첫 교육 전날에 필수 키트들이 왔다 구성은 강점카드, 스티커, 다이어리(?),뱃지, 책 3권, 저기 저 초록색 ... blog.naver.com 1주차 마치고쯤 주말이었나? 택배가 하나 도착해있었다. 청년 하이파이브에서 보낸 "웰컴 키트" 라는 것인데 일종의 선물인 것 같았다. 내용물은 담요, 무선 충전기, 텀블러, 다이어리, 스벅!쿠폰, 간식거리 등이 들어있었다. 아쉽게도 지금 내가 헬스하고 식단중이라 간식을 먹지는 못했다. 아마 어무이가 드신걸로.... 역시 대기업에서 주최한 교육사업답게 선물도 푸짐하게 준다. 반도체나 실무 교육도 무료로 진행되고, 선물도 주고, 50만원도 주고!, 이벤트도 하니 진짜 혜자인 듯하다. 다음 기수 진행할 사람들은 꼭 들으십쇼! 또 하나 들어있는게 위에 카드가 들어있는데, 무슨 용도인지는 모르겠다. 아마 모든 교육이 끝나고 뭔가 쓸일이 있겠지? 여튼 본론으로 들어가서, 2,3주차는 반도체에 대한

반도체 전공정 ③ - 4. Photolithography 공정 - 공정 Process(2) (Soft Bake, Align, Exposure, PEB) [내부링크]

https://blog.naver.com/dlsgur5585/222595847298 반도체 전공정 ③ - 3. Photolithography 공정 - PhotoResist(요구특성, 구성, Positive, Negative, PAC, CAR 반응 메커니즘, 이슈) 이어서 계속됩니다. 지난시간에 포토공정의 Process 중 PR spin coating까지 포스팅했습니다. PR이라... blog.naver.com 이어서 계속됩니다. 지난시간까지 PR에 대해서 알아보았습니다. 이번에는 지지난 시간에 이어서 포토공정 Process을 진행하겠습니다. "③. Soft Bake" 1차적으로 PR의 Solvent를 제거하는 단계입니다. Solvent의 역할로는 액체 상태로 유지시켜 얇게 코팅시키는 역할을 하는데요. 이 역할을 다했으니 약한 열 (90~110도)을 가해서 Solvent를 제거하여 후속 공정에서 여러 문제를 방지할 수 있습니다. Soft Bake 목적 . Mask, 노광 장비 오염 최

삼성전자·IBM, 기존 핀펫 2배 성능의 새 반도체 설계 'VTFET' 발표 [내부링크]

https://www.nocutnews.co.kr/news/5674009 삼성전자·IBM, 기존 핀펫 2배 성능의 새 반도체 설계 'VTFET' 발표 삼성전자와 IBM은 트랜지스터를 수직으로 쌓아 기존 핀펫(finFET) 공정 반도체 대비 2배의 성능을 구현할 수 있는 신규 반도체 설계방식 'VTFET'(Vertical Transport Field Effect Transistor)을 15일 발표했다. 양.. www.nocutnews.co.kr 요약) 삼성전자 + IBM = VTFET(Vertical Transport Field Effect Transistor) 발명 = 반도체 표면에 트렌지스터를 수직으로 쌓아 전류를 수직/상하로 흐름 = 전류 낭비 감소 -> 전력사용량 약 85%로 감소 -> 탄소 배출량 감소 = 기존 FinFET 대비 2배 성능 구현 = 나노 공정 한계 극복

반도체 전공정 ③ - 5. Photolithography 공정 - 공정 Process(3) (Development, Inspection, Hard Bake, PR Strip) [내부링크]

반도체 전공정 ③ - 4. Photolithography 공정 - 공정 Process(2) (Soft Bake, Align, Exposure, PEB) https://blog.naver.com/dlsgur5585/222595847298 이어서 계속됩니다. 지난시간까지 PR에 대해서 알아보... blog.naver.com 이어서 계속됩니다. "⑦. Development" Exposure을 통해 에너지를 흡수하여 화학적 반응을 일으킨 PR을 제거하는 작업입니다. 빛이 노출된 부분과 노출되지 않은 부분의 용해도 차이를 이용하여 Developer를 이용해 패턴을 형성합니다. 이후 Rinse 단계를 거쳐 Dry하는 단계까지 거치게 되죠. Positive PR은 빛이 노출된 부분이 TMAH와 같은 염기성 수용액으로 제거되며, Negative PR은 Xylene과 같은 유기용매를 사용해서 빛이 노출되지 않은 부분이 제거 되겠죠. PR에 대한 특징 등 자세한 내용은 밑 링크를 참고하시길 바랍니다!

SK하이닉스, 'D램 하이K 하프늄 신소재' 조달처 이원화 움직임 [내부링크]

http://www.thelec.kr/news/articleView.html?idxno=15517 SK하이닉스, 'D램 하이K 하프늄 신소재' 조달처 이원화 움직임 - 전자부품 전문 미디어 디일렉 SK하이닉스가 관계사 SK트리켐에서 100% 공급받고 있는 하프늄(Hf) 기반 하이-K(고유전율) 프리커서(Precursor) 조달처를 다변화하려는 움직임을 보이고 있는 것으로 확인됐다.21일 관련 업계에 따르면 SK하이닉... www.thelec.kr 요약) SK 하이닉스 - Hf 기반 High-K Precursor 조달처 변화 움직임 - 현재 SK 트리켐 100% 공급받음 (명칭 : HAC)-> 메카로社의 Precursor 평가 돌입 -> 평가는 중간단계, SK 트리켐 대비 성능 좋음 (명칭 : MAP) - 이는 단독 공급사이기 때문에 가격 인하 요구 등 협상x => 조달처 다변화 시도

SPTA 박막실습 (중급) 후기 [내부링크]

"SPTA 반도체 공정실습 박막공정 (중급) 후기" 반도체 관련 취준생이라면 필수라는 공정실습을 급하게 신청했습니다!! 저는 학부연구생하고 전공이 박막하고 연관되있다고 생각해서 이번에 박막공정 중급을 신청했습니다! 1박 2일동안 진행되는 과정이고 공정 이론과 실습으로 얻어가는게 많은 경험이었어요 근데 너무 비싸... 공정실습할 장소 (광교) 위치는 광교역 1번출구로 가서 10~15분 정도 걸리는 거리에 있습니다. 아무래도 신분당선 라인이다 보니 저는 버스보다 지하철이 편했습니다. 타지역 분들은 수원역이나 서울로 올라와서 버스타시는게 편할거에요! 실제로 교통편도 많아서 실습 끝나고 집가기 편했습니다. 버스 : 수원역에서 60, 400, 700-2, 7-2 (경기대수원캠퍼스후문,수원박물관) 강남역 : 3007 (나라빌딩앞 -> 경기대수원캠퍼스후문, 수원박물관) 사당역 : 7001 (사당역4번 출구앞 -> 경기대수원캠퍼스후문, 수원박물관) 잠실역 : 1007 , 1007-1 (잠실역 ->

파운드리 시장, 올해 1000억달러 넘긴다 [내부링크]

http://www.thelec.kr/news/articleView.html?idxno=14835 파운드리 시장, 올해 첫 1000억달러 넘긴다…내년도 13% 高성장 - 전자부품 전문 미디어 디일렉 파운드리 업계가 반도체 공급난에 따른 가격 인상과 적극적인 설비투자로 고성장세를 이어갈 전망이다.28일 시장조사업체 트렌드포스는 2022년 전 세계 10대 파운드리 업체의 연 매출액이 전년 대비 13.3% 증가한... www.thelec.kr 요약) 2022년 10대 파운드리 업체 전년 대비 13.3% 매출액 증가 (사상 처음 1000억 달러 넘어설 전망) => 적극적인 설비투자(CAPEX) 주 요인 => 삼성은 평택, 미국 등 투자, TSMC는 미국, 일본 신설, 인텔도 유럽 2곳 => 칩 부족 현상의 완화, 부품 간 수급난 격차는 계속

삼성, 2025년 GAA 2nm 최첨단 공정 양산 계획 [내부링크]

http://www.thelec.kr/news/articleView.html?idxno=14571 삼성, 2025년 'GAA 2nm' 최첨단 공정 양산 목표 - 전자부품 전문 미디어 디일렉 삼성전자가 오는 2025년 게이트올어라운드(GAA) 기반의 2나노 공정 양산을 시작한다. 또 내년 6월에는 GAA 기반의 3나노 공정 양산을 시작하겠다고 밝혔다. TSMC와 인텔보다 앞서 초미세공정 주도권을 쥐겠다는 ... www.thelec.kr 요약) GAA = 채널 3면 활용하던 핀펫 구조에서 4면을 사용하는 구조로 변경 => 전류 조절 능력, 높은 전력효율성 MBCFET = 5나노 핀펫 대비 성능 30% 향상, 전력소모 50%감소, 면적 35%감소 2025년 GAA 기반 2나노 공정 양산 시작 예정, 2022년 6월 GAA 3나노 공정 양산 시작 핀펫 17나노 신공정개발 => 28나노 대비 성능 39%, 전력효율 49%향상, 면적 43%감소 => CIS, 모바일 DDIC, 웨어러블 핀펫,

반도체 전공정 ① - 1. Wafer 공정 - Si의 특징 [내부링크]

요즘 반도체 슈퍼사이클이다 뭐다 하면서 반도체 호황이 이어지고 있습니다. 이런 반도체는 휴대전화는 물론 전기자동차 등 다양한 제품의 기본이 되고 있는데요 이 반도체를 제조할 때는 크게 8개의 공정을 거쳐서 제조합니다. 그 중 첫번째, 반도체를 만들기 위해서 가장 기본적인 Wafer를 만드는 것부터 공정을 시작합니다! 그렇다면 이 Wafer라는것은 무엇일까요? "Wafer란 무엇일까?" 우리는 웨이퍼를 알기 전에 "반도체 집적 회로"에 대해서 알아야 합니다. 반도체 집적회로란 다양한 기능을 처리, 저장하기 위해 소자들을 하나의 칩으로 집적한 부품입니다. 이는 웨이퍼 "기판" 위에서 정보라는 "회로"들을 넣어 집적회로를 만드는 것입니다. 즉, 반도체는 모두 이 웨이퍼라는 얇은 판 위에서 시작하는 셈입니다! 웨이퍼는 현재 대부분 실리콘(Si)을 성장시킨 단결정 기둥을 얇게 썰어서 원판 형태로 사용하고 있습니다. 과거에는 주로 저마늄(Ge)을 사용했다가 실리콘을 사용하고 있는데요. 왜 Ge

반도체 전공정 ① - 2. Wafer 공정 - Sand to Si, CZ, Ft 공정, 웨이퍼링 [내부링크]

https://blog.naver.com/dlsgur5585/222557416253 반도체 전공정 ① - 1. Wafer 공정 - Si의 특징 요즘 반도체 슈퍼사이클이다 뭐다 하면서 반도체 호황이 이어지고 있습니다. 이런 반도체는 휴대전화는 물... blog.naver.com 이어서 계속됩니다! 저번 포스트에는 Si의 특성에 대해서 알아보았습니다. 이제는 Si을 가지고 웨이퍼를 만드는 공정에 대해 알아보겠습니다. "Sand to Silicon" 처음에는 모래 속 실리콘 성분만 뽑아낼 필요가 있습니다. 이를 "Sand to Silicon" 과정이라고 하는데요. 이 과정은 크게 2가지로 나뉘어집니다. . MG-Si (Metallurgical Grade Silicon) 추출 SiO2(s) + 2C(s) →heat Si(s)(MGS) + 2CO(g)↑ 자연계에 존재하는 모래(SiO2)를 Carbonate 시켜서 실리콘을 생성하는 과정입니다. 이 과정에서 SiO2 속 산소는 C와 결합하여 기

Sedex2021 반도체대전 늦은 후기 (21.10. 28) [내부링크]

작년 인턴할때에 반도체 대전이라는 것을 처음 알았고, 연차를 써서라도 갈려고 했으나 사정상 가지 못했었다. 주위의 추천도 있고, 갔다온 사람들도 도움된다고 해서 이번에는 사전예약까지 하고 갔다c 사전예약 하자. 사전예약하면 이렇게 카톡하고 이메일로 오게된다. 또 좋은게 입장료 무료까지 해주니 내년에 갈사람은 꼭 해두십셔~~ 코엑스 나는 자취를 하고있어서 늦을까봐 일찍 출발했었다. 10시 개장인거 감안하면 7시에 출발해서 거의 딱맞게 도착한듯.... 반도체대전은 코엑스 C~D Hall에서 열리는데 여기가 너무 넓다보니 해맸었던거 같다 내년에 갈 사람들은 꼭 지하철 밖으로 나와서 저기 코엑스 건물로 들어가세요 그래야 저처럼 길 안잃어요 Previous image Next image 저 건물로 들어가고 3층으로 올라가면 "제 23회 반도체 대전" 이라고 크게 써있는 팜플렛을 볼 수 있다. 아침인데도 취준생들, 현직자 분들이 되게 많은거 보면 왜 우리나라가 반도체 강국인지 알거같더라....

韓 반도체 수출 주도해온 삼성전자…시스템반도체로 미래 그린다 [내부링크]

https://view.asiae.co.kr/article/2021120611211369143 韓 반도체 수출 주도해온 삼성전자…시스템반도체로 미래 그린다 한국이 올해 사상 최단 기간 무역규모 1조달러를 달성하고 삼성전자가 역대 최초로 1100억달러 수출 기록을 세울 수 있었던 중심에는 바로 반도체가 있었다. 올해는 석유제품, 자동차, 철강 등 다른 ‘효자종목’들이 제몫을 해냈지만 반도체의 수출 기여도는 여전히 가장 높았다. ‘글로벌 반도체 업체 1위’인 삼성전자는 강점이 있는 메모리반도체시장에서 주도권을 유지하는 한편 국내와 view.asiae.co.kr 요약) 삼성전자 - 역대 최초 1100억 달러 수출 기록 달성 - 메모리 고정거래가격 ↓ but, 물량 ↑ => D램 , 낸드 3분기 역대 최대 실적 - 시스템반도체 올해 수출 증가율 31.5% + 30년까지 171조원 투자 => 미국 테일러 => 내년 상반기 착공시작, 24년 하반기 가동 목표

“매출 90% D램 의존 낮춰라”… 비메모리로 영토 넓히는 SK하이닉스 [내부링크]

https://biz.chosun.com/it-science/ict/2021/12/07/TQ4ASNVSWJBM5CYRHU3MRGN5FE/?utm_source=naver&utm_medium=original&utm_campaign=biz “매출 90% D램 의존 낮춰라”… 비메모리로 영토 넓히는 SK하이닉스 매출 90% D램 의존 낮춰라 비메모리로 영토 넓히는 SK하이닉스 파운드리 누적 이익 전년比 60% 급증 8인치 웨이퍼 공정, 코로나19로 호황 자회사로 中 공략, 키파운드리로 韓 공략 biz.chosun.com 요약) SK 하이닉스 파운드리 (시스템 IC) - 영업이익 60%↑ (19년에 비해 2배 증가) => 메모리 의존 낮추기 위한 노력 - 8인치 (110nm) 공정 (DDI, CIS, PMIC, MCU 등) => 파운드리 병목현상 해결에 이바지 => 경쟁사 수 적음 (DB 하이텍) + 8인치 장비 생산 중단 -> 새로운 경쟁사x - 중국 우시공장으로 이주중 => 중국 팹리스

반도체 전공정 ③ - 3. Photolithography 공정 - PhotoResist(요구특성, 구성, Positive, Negative, PAC, CAR 반응 메커니즘, 이슈) [내부링크]

반도체 전공정 ③ - 2. Photolithography 공정 - 공정 Process(1) (RCA Clean, HMDS Primer,PR Spin Coating (변수, 단점)) https://blog.naver.com/dlsgur5585/222586034091 이어서 계속됩니다. 본격적으로 포토공정에 대해 포스팅... blog.naver.com 이어서 계속됩니다. 지난시간에 포토공정의 Process 중 PR spin coating까지 포스팅했습니다. PR이라는 물질은 패터닝을 하는데 중요한 역할을 하기 때문에 반드시 알아야하는 물질인데요! 그렇다면 PR이라는 물질이 왜 포토공정에 필요한지, 이 물질이 뭔지에 대해서 근본적으로 알아보겠습니다. "PhotoResist" PhotoResist란 유기 성분 기반의 물질로 빛에 반응하여 분자구조가 변화하여 특성이 변하게 되는 물질입니다. 포토공정에서 이 성질을 이용해서 Develop을 진행할 때 패터닝이 가능하게 해주는 중요한 역할을 합니

최태원 회장은 왜 ‘Yes’라고 못했을까 [내부링크]

https://news.kbs.co.kr/news/view.do?ncd=5346217 최태원 회장은 왜 ‘Yes’라고 못했을까 "차원이 다른 도전”이라 아직은 생각 없다 SK온은 미국 조지아주에 3조 원을 들여 전기차 배터리 공장을... news.kbs.co.kr 요약) SK 하이닉스 - 미국에 Fab 짓는것 아직 시기상조 => 전제조건 고려 . 생산 위한 기술 엔지니어 부족 - 24시간 시설을 관리 및 공정 최신화 필요 . 과거의 과오 - 과거 유진공장 거대 투자(1조 5천) but, 글로벌 경제 위기로 매각(70억) . 메모리 사이클 - 2008 메모리 사이클 최악의 하락새 -> 가격 유지가 힘들어.. + 해외 유지비 감당 힘들어 -> 파운드리와의 차이점 . 메모리 생산 효율성 극대화 힘들어 - 수요처와의 거리는 거의 상관 없어 -> 비행기로 나르면 됌 - DRAM 집적이 중요 -> 생산, 연구개발이 한곳에 있는 것이 중요 - 거대 설비 투자도 필요 (EUV) 결론 => 메모리

청년 하이파이브(Hy-five) 6기 직무교육 1주차 후기 [내부링크]

청년 하이파이브(Hy-five) 6기 직무교육 0주차 어제였나...? 갑자기 이런문자가 왔다. sk 하이닉스에서 주관하는 인턴 연계 프로그램인 하이파이브에서 한... blog.naver.com 첫 교육 전날에 필수 키트들이 왔다 구성은 강점카드, 스티커, 다이어리(?),뱃지, 책 3권, 저기 저 초록색 카드는 수업이 끝나면 다시 택배붙여야 한다.. 귀찮다.... 사실 1주차는 반도체 교육 책 2권빼고 전부다 사용한다고 알면 된다. 활동한게 은근 많다. 그 전에 수업 외에 할 것들이 은근히 있었다. 뭐 개인정보 수집동의서라던지 이런거 제출해야되고, KOSMES라는 사이트에 가입해서 AI면접도 꼭 봐야한다고 한다. 안그러면 50만원 안준다고ㅡㅡ 암튼 1주차 수업은 직무 공통과정으로 자신을 되돌아보는 그런 수업이 되겠다. 흔히 자소서 쓸 때 또는 면접 준비할 때 장단점, 가치관, 직무분석 이런거를 녹여내고 표현해야 할 때가 많은데, 그런 면에서 굉장히 도움이 많이 되었던거 같다. 앞으로

TSMC, 3나노 시험생산 돌입 + 격차 더 벌린 TSMC…삼성, 3나노로 반격 [내부링크]

TSMC, 3나노 시험생산 돌입 [더구루=오소영 기자] 세계 1위 파운드리 회사 TSMC가 3나노미터(·1는 10억분의 1m) 반도체 시험생산에 돌입했다. 내년 4분기 양산에 고삐를 죄며 미세 공정을 둘러싼 반도체 업계의 주도권 다툼이 가열되고 있다. 1일 업계에 따르면 TSMC는 대만 타이난 소재 팹18에서 3나노 반도체 시험생산을 시작했다. 수율을 높이는 램프업을 거쳐 내년 4분기 www.theguru.co.kr 격차 더 벌린 TSMC…삼성, 3나노로 반격 3분기 반도체 위탁생산 시장 세계 1위 TSMC 지배력 확대 시장점유율 53%까지 높여 삼성 3나노 공정 도입 눈앞 내년 상반기 제품 양산 목표 이재용 투자위해 유럽行 검토 파운드리(반도체 위탁생산) 시장이 올 3분 n.news.naver.com 요약) 파운드리 시장 = 3분기 대비 11.8% 증가(약 32조원) TSMC = 5nm 매출 작년 : 8%, 올해 :18%(약 17조원), 시장 점유율 53% ** 아이폰 신작 영향

삼전 하이닉스 줍줍 이유있었네…"D램 가격 다운사이클 짧아질 수도" [MK위클리반도체] [내부링크]

삼전 하이닉스 줍줍 이유있었네…"D램 가격 다운사이클 짧아질 수도" [MK위클리반도체] [MK위클리반도체] D램 반도체 현물거래가격이 소폭 반등한 가운데 고정거래가격도 하락세를 멈추며 보합을 기록했습니다. 그동안 우울한 전망만을 내놓던 시장에서도 조심스럽게 D램 가격 반등 가능성을 거론하고 있는데요. n.news.naver.com 요약) D램 현물 거래 소폭 반등 및 고정 거래 하락세 정지 상황 => D램 다운사이클 조기 마감 기대 * 고정거래가격 = 삼성, Sk 등이 고객사와 장기계약을 맺을 때의 가격 ** 실적에 직접적 영향 -> DDR4 8GB 가격이 전달과 같음 + DRAM 재고 늘릴 가능성 -> But, 내년 상반기까진 전반적인 D램 가격 약세 예상 ** 1분기까지 하락세 예상 (10% ↓) + -> 메모리 반도체 특성상 분기 첫달 기준 계약 진행 특성 -> 10월 고정 거래 가격 주시해야 -> NAND (MLC 128GB) 역시 5개월째 가격 동일 -> 수요,공급 큰변화x

2021 정기 기사 2회 금속재료기사 합격 후기 [내부링크]

뿌뿌뿌뿌~ 금속재료기사를 딴지는 4개월이 지났지만... 기록할 겸 블로그에 포스팅한다. 난 신소재공학과를 나왔기에, 딸 수 있는 자격증이 뭐가 있을까 하고 찾아보다가 딱!!! 금속재료기사가 있었다. 마침 학교에서 전공과목으로 배우기도 해서 따기 쉽나? 하고 도전했었다. ㅃㅜ뿌뿌뿌~~~~ 내가 이걸 3학년에 인턴할 때부터 준비를 했었으니 꽤 오래했다.... 생각보다 만만히 볼게 아니었던 기억이 난다. 그때 기사를 2개 동시에 준비해서 그런가??? 꽤 오래걸렸다... 빅데이터 분석기사도 취득했는데 이건 나중에 포스팅하겠다. "필기 준비" 이건 그냥 내 생각을 말하겠다. 전공자 비전공자 상관없이 기출문제 10개년 무한정 돌려서 외우면 무조건 합격할거다. 단. 야금공학은 무작정 외우는 것도 좋지만, 식을 적용하는 연습이 반드시 필요하다! 금속재료기사 필기 기출문제 - 최강 자격증 기출문제 전자문제집 CBT 금속재료기사 필기 기출문제 www.comcbt.com ↑ 요 사이트에서 최신 기출 1

반도체 전공정 ③ - 2. Photolithography 공정 - 공정 Process(1) (RCA Clean, HMDS Primer,PR Spin Coating (변수, 단점)) [내부링크]

https://blog.naver.com/dlsgur5585/222586034091 반도체 전공정 ③ - 1. Photolithography 공정 - 정의(3요소, 요구사항 등), 공정 Cost, 변수(Res, DOF 등), 빛의 이해, 패턴 형성 원리 등 반도체 전공정에서 어느것이 중요하다고는 판단할 수 없지만, 가장 핵심적인 공정은 포토공정이라고 말할 ... blog.naver.com 이어서 계속됩니다. 본격적으로 포토공정에 대해 포스팅하겠습니다. 포토 공정은 크게 8가지 과정으로 진행됩니다. 이 Process 들이 왜, 어떻게 진행하는지에 대해서 숙지하는 것이 중요합니다. 오늘은 포토공정의 개략적인 Process와 세부적인 내용, Photoresist에 대해 알아봅시다. "포토공정 Process" 포토공정은 왼쪽 그림과 같이 8개의 과정을 진행합니다. 아주 간단하게 설명하면 다음과 같습니다. 1) Clean & Vapor prime PR이 균일하게 코팅되도록 웨이퍼의 표면을 C

청년 하이파이브(Hy-five) 6기 직무교육 0주차 후기 [내부링크]

어제였나...? 갑자기 이런문자가 왔다. sk 하이닉스에서 주관하는 인턴 연계 프로그램인 하이파이브에서 한달짜리 교육과정에 추가선정되었다고 한다...... 내기억으로 이거 9월에 램리서치 넣었다가 광탈하고 그대로 잊고 있었는뎁. 슬슬 교육 시작하나보다. 음... 지금 오픽학원도 다니고있고 시험 준비도ㅠ 해야되는터라 좀 고민했었는데, 그냥 해버리자하고 유선으로 말씀드렸다! 교육과정은 1,2 주차 - OA, 커뮤니케이션 이거는 팀끼리 활동하는게 주가 된다고 알고있다. 조별과제 ptsd오는데 그런거만 아니었음 좋겠다 3,4 주차 - 반도체 교육 기초, 심화반으로 나눠서 한다고 들었다. 나는 전공자라서 심화반으로 갈생각ㅎ 그 담날 이런 메일과 함께 하이파이브 톡도 같이왔다. 중요한 내용은 2가지인데, 첫번째는 플립러닝에서 반도체 기초 이론을 꼭 이수해야한다는 것, 두번째는 교재가 곧 집으로 배달온다는 건데, 중간에 빠지는 사람은 다시 돌려줘야한다는것. 이 2가지 말고는 딱히 중요한건 없는듯

반도체 전공정 ② - 5. Oxidation 공정 - Oxide Charge(Fixed Oxide, Interface, Mobile 등), PMA, FGA [내부링크]

반도체 전공정 ② - 4. Oxidation 공정 - 산화공정 변수(Oxidant, 온도, 압력, 도핑 농도 등), Thermal Budget, Dopant Segregation https://blog.naver.com/dlsgur5585/222571499416 이어서 계속됩니다. 엔지니어가 산화공정을 진행할 때, ... blog.naver.com 이어서 계속됩니다. MOSFET 소자에서 Oxidation 공정을 진행하여 다양한 산화막을 형성시킵니다. 특히 산화 공정으로 생성하는 Gate Oxide는 소자의 품질을 결정하는 가장 중요한 요소입니다. 산화 공정을 진행할 때, 원치않는 Charge들이 Oxide에 침입하여 Carrier에 전기적 영향을 주며, mobility에 문제를 발생시킵니다. 따라서 이러한 Charge들이 무엇이 있는지, 해결책은 어떤것이 있는지 알아야합니다. Oxide Charge 출처 : 공대킹 최종문 1) Fixed Oxide Charge (Qf) - 주로 S

삼성전자, 차세대 2.5D 패키징 솔루션 'H-Cube' 개발 [내부링크]

삼성전자, 차세대 2.5D 패키징 솔루션 'H-Cube' 개발 [서울=뉴시스] 이인준 기자 = 삼성전자가 반도체 패키징 기술 혁신을 통해 고성능 반도체 공급을 확대한다 newsis.com 요약) 삼성전자 신 2.5D 패키징 개발 "H-CUBE(Hybrid-Substrate Cube)" => HPC(고성능 컴퓨팅), 데이터센터 등 고사양 반도체에 사용 => Si 인터포저 위 로직과 HBM 배치 (2단 하이브리드 패키징) => 고사양 메인 기판 + 대면적 구현 가능한 보조 기판(연결성 확보 -> 신호 왜곡 손실 최소화) => 기판 크기 최소화 (솔더볼 35%↓) => 기존 기술인 I-CUBE보다 HBM을 6개 이상 효율적 배치 가능 => 고사양 반도체 패키징의 집적 기술 구현! ** 앰코테크 + 삼성전기, 삼성전자

SK하이닉스, 웨이퍼에 도화지 입히는 '박막' 기술 개발에 박차 [내부링크]

SK하이닉스, 웨이퍼에 도화지 입히는 '박막' 기술 개발에 박차 [아이뉴스24 민혜정 기자] SK하이닉스가 웨이퍼 표면에 분자나 원자 단위의 물질로 얇을 막을 입히는 '박막'(Thinfilm) 공정 기술 개발에 박차를 가하고 있다. 26일 SK www.inews24.com 요약) SK 하이닉스 => W/F 표면에 원자 단위의 Thin Film 증착 공정 기술 개발 * 박막공정 = 부도체 상태의 W/f을 전기적 특성을 지니게 해줌 -> 이후 패턴, 구조 잘구현해주는 도화지 . PVD 금속에 물리적 반응 이용, 고진공에서 진행되어 오염 적고 증착 빠름 스퍼터 + RIE, 다마신 등 혼용 => 셀 하부의 안정적인 금속선 형성 기술 개발 . CVD 기체에 E를 가해 반응 유도 후 증착, S/C 뛰어남 HDPCVD, PECVD + 공정 효율 상승 위한 ARC, 하드마스크 증착 혼용 => 균일한 박막 증착을 위해 산화막, 질화막 번갈아 적층하는 기술 개발

반도체 전공정 ③ - 1. Photolithography 공정 - 정의(3요소, 요구사항 등), 공정 Cost, 변수(Res, DOF 등), 빛의 이해, 패턴 형성 원리 등 [내부링크]

반도체 전공정에서 어느것이 중요하다고는 판단할 수 없지만, 가장 핵심적인 공정은 포토공정이라고 말할 수 있습니다. 포토공정은 PR이라는 물질을 이용해서 웨이퍼에 패터닝. 즉, 정보를 새겨넣는 공정을 의미합니다. 이는 현재 7nm. 5nm 등 선폭(CD)를 결정하며, 동시에 저전력 고성능의 반도체를 생산하는 아주 중요한 공정이라고 할 수 있습니다. 그래서 삼성전자, TSMC 등 파운드리업계는 몇 년전에 EUV를 최초로 도입했고, 최근에는 메모리업계에서도 적용하고 있고요. 각설하고, 포토공정의 핵심은 바로 "빛"을 이용한다는 점입니다. 포토공정의 이해와 수율을 향상으로 이어지기 위해서 빛에 의한 현상을 이해하고 이용하는 것이 중요합니다. 또한 이 빛을 이용하여 현재 포토공정의 Cost는 무엇이 있는지부터 파악하는 것도 중요합니다. "Photography란?" Photolithography는 웨이퍼 상의 회로 패턴을 구현하기 위한 방식입니다. 임의로 PR을 도포하여 빛으로 Open Are

11.11~12 서울대 반도체 교육 - 반도체 메모리 소자의 이해와 응용 후기(2) [내부링크]

11.11~12 서울대 반도체 교육 - 반도체 메모리 소자의 이해와 응용 후기(1) 11월 초쯤이었나? 서울대에서 주관하는 반도체 교육을 신청했다. 보통 반도체 취준생들에게 "서울대&q... m.blog.naver.com 서울대학교관악캠퍼스반도체공동연구소 서울특별시 관악구 관악로 1 분당에서 서울대까지 시간이 1시간 30분? 정도 걸린다. 게다가 수업 시작도 9시 정도에 시작이라 진짜 오랜만에 5시 30분에 일어나서 후다닥 준비했다. 교통편은 밑에거 참고하면 된다. ↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓ 지하철(2호선)이용 - 서울대입구역 또는 낙성대역 하차 * 서울대입구역 하차 시 : 서울대학교 방향(3번 출구) → 올리브영 앞 → 5511번 버스 → 유전공학연구소 앞 하차 (11번 정류장) * 낙성대역 하차 시 : 4번 출구 →GS 주유소 옆 골목 앞 쟝블랑제리빵집 → 02번 마을버스 → 유전공학연구소 앞 하차 (11번 정류장) 낙성대역에서

반도체 전공정 ② - 3. Oxidation 공정 - Deal-Grove model, 성장 초기/말기속도, Massaoud model [내부링크]

https://blog.naver.com/dlsgur5585/222563585307 반도체 전공정 ② - 2. Oxidation 공정 - SiO2 성장 방식(Wet, Dry), Furnace, RTP, 두께 측정 장비(a-step, Elipsometer 등) https://blog.naver.com/dlsgur5585/222559773759 이어서 계속됩니다. "Oxide들은 어떻게 생성이 될... blog.naver.com 이어서 계속됩니다. 모든 열산화막의 성장은 크게 Diffusion과 Reaction 반응으로 나뉘어집니다. 이 과정을 공학적으로 증명한 모델이 바로 Deal-Grove model입니다. 이 모델을 통해서 SiO2의 성장 운동론에 대해 알 수 있습니다. ※상당히 자세하게 다룰 예정입니다. "Deal-Grove Model" Deal-Grove Model 위 그래프에 대해서 먼저 설명하겠습니다. Deal - Grove 모델은 시간에 따라 두께 변화를 설명할 수 있는

'반도체 초격차' 자신한 삼성이 내세운 기술들은? [내부링크]

'반도체 초격차' 자신한 삼성이 내세운 기술들은? “반도체 산업은 AI(인공지능), AR(증강현실) 등 첨단 산업의 가장 밑바탕에서 이 산업들이 구현될 수 있게 해주는 핵심으로 자리 잡았다. 삼성전자는 www.etoday.co.kr 요약) 삼성 인베스터스 포럼 14나노 D램 양산 = EUV 적용 => 300% 원가 절감 + 램프업(수율 향상) 속도 증가 = CXL(Compute Express Link) 인터페이스 적용 => Ai, 머신러닝 등 효율적 활용 => 서버용 D램 탑재량이 1TB까지 증가 추세 => 메모리 용량 확장 1000단 V낸드 = QLC (셀당 4비트) 저장방식 => MLC,TLC 등에서 증가하여 저장용량 33% 확대 = 적층 경쟁 but, 셀간 간섭 현상 문제 개선 파운드리 = 3나노 GAA = 전력효율, 성능, 설계유연성 (공정 유연화 필수) => 점유율 확대 기대 => TSMC는 기존 핀펫구조에서 3나노 준비 = 미국 파운드리 2공장 투자계획 (오스틴 취소

반도체 전공정 ② - 4. Oxidation 공정 - 산화공정 변수(Oxidant, 온도, 압력, 도핑 농도 등), Thermal Budget, Dopant Segregation [내부링크]

https://blog.naver.com/dlsgur5585/222571499416 반도체 전공정 ② - 3. Oxidation 공정 - Deal-Grove model, 성장 초기/말기속도, Massaoud model https://blog.naver.com/dlsgur5585/222563585307 이어서 계속됩니다. 모든 열산화막의 성장은 크게 Diffu... blog.naver.com 이어서 계속됩니다. 엔지니어가 산화공정을 진행할 때, 공정 파라미터를 조절해줍니다. 산화공정 엔지니어라면 산화막의 품질, 두께 등에 영향을 미치는 요소들을 모두 고려를 해야겠죠. SiO2막에 영향을 미치는 변수들은 여러가지가 있습니다. "산화공정 속도에 대한 변수" Oxidant 지난시간에도 살짝 언급했습니다만 수식적으로 왜그런지 자세히 알아보겠습니다. 산화막의 두께는 속도와 밀접한 관련이 있으며, 이는 D-G 모델의 Linear부분과 Parabolic 부분에 해당되는 식과 관련이 있습니다. 즉

D램 세대교체 서막... 반도체 시장 봄날 앞당길까 [내부링크]

https://n.news.naver.com/article/003/0010811486 D램 세대교체 서막…반도체 시장 봄날 앞당길까 기사내용 요약 삼성·SK 주력 수출품 'D램' 반도체 시장, 동장군 기승 내년 양산 'DDR5', D램가 하락세 저지할지 업계 주목 '프리미엄' 30% 이상 붙을 듯…초기 수요 창출이 관건 [서울=뉴시스] 이인준 기 n.news.naver.com 요약) 2013 DDR4 출시 이후 내년 초 DDR5 양산예정 DDR5 = 기존 제품 대비 처리 속도 2배↑, 전력 소비 10%↓ = DDR5 CPU나오면 교체 본격화 => but CPU 호환 제품 나올때까지 시장 성장 제약 시장에 미치는 영향 = 지금까지 메모리 비용 감소 -> 내년 상반기까지 약세 예상 (트렌드포스) = DDR5 칩이 4 대비 생산 칩수 감소 (칩 치수가 크기 때문) -> 수율 감소 = but, 생산 원가보다 판매가격이 더 중요 = DDR5 양산 전환 과정에서 D램 공급 감소 -> 가격 하락

[병점] 대동집 [내부링크]

목욜날 내가 참여하고 있던 스터디의 현직자 멘토님께서 연락이왔다. 목적은 스터디 말고 술한잔 하면서 이야기 하자는 거! 속상했던 일땜에 우울했던 기분좀 풀겸 나는 당연히 수락했다!! ㅋㅋㅋ 그리고 멘토 형님 나이차땜에 좀 편히대하는게 어려웠었는데 이것도 술한잔하면서 풀기로 했다. 장소는 병점점에 위치한 대동집! 여기가 병점역 맛집으로 유명하더라 메뉴는 이 외에도 엄청나게 다양하다 우리는 먼저 치즈불닭이 있는 "흔들기 세트"로 워밍업했다 주먹밥 보소 좀 매울까봐 걱정했는데 생각보다 괜찮아서 술술들어가더라 이거말고도 두번째로는 여기 시그니처인 대동보쌈이다 여기 오면 꼭 먹어봐야할 메뉴인거같다 개맛있다 ㅋㅋㅋ 스터디원들끼리 부매랑 찍은 영상이다. 재촬영만 5번넘게한듯 사진은 안찍었는데 보쌈 말고도 김치오뎅탕하고 황도도 시켰다. 난 항상 술집에 가면 황도를 시켜먹어서 황도 데이터가 많이 쌓여있는데 이집 황도 강추한다 꼭 시켜먹어라 (창렬이라고 욕하지 마십쇼ㅠㅠ) 우리가 술 안주를 디립다 먹

반도체 전공정 ② - 2. Oxidation 공정 - SiO2 성장 방식(Wet, Dry), Furnace, RTP, 두께 측정 장비(a-step, Elipsometer 등) [내부링크]

https://blog.naver.com/dlsgur5585/222559773759 반도체 전공정 ② - 1. Oxidation 공정 - SiO2 특성, 성장 Process, LOCOS & STI 반도체 전공정 중 가장 처음에 배우는 산화공정은 소자에 아주 유용하게 사용되고 있는 공정입니다. 웨이퍼... blog.naver.com 이어서 계속됩니다. "Oxide들은 어떻게 생성이 될까?" ①. Native Oxide Native Oxide 웨이퍼를 갓 만들게 되면 표면의 Danglig Bond와 자연계에서의 산소가 결합을 하게 됩니다. 이로써 1~5nm 크기의 자연 산화막, 즉, Native Oxide이 생성하게 되는데요. Native Oxide는 엔지니어가 인위적으로 생성한 것이 아닌 자연적으로 만들어진것이기 때문에 반드시 제거를 해줘야합니다. 반도체 공정에서는 엔지니어가 소자를 만드는데 있어 제어할 수 없으면 부정적인 요소로 판단이 되어집니다. 거의 대부분요! 또한 Native

5기가 영화 1초에 14편 전송…삼성전자, 5G 최적화 14나노 D램 개발 [내부링크]

https://biz.chosun.com/it-science/ict/2021/11/09/PHGHSRT4EFC27GF7PPIGG4CJCE/?utm_source=naver&utm_medium=original&utm_campaign=biz 5기가 영화 1초에 14편 전송…삼성전자, 5G 최적화 14나노 D램 개발 5기가 영화 1초에 14편 전송삼성전자, 5G 최적화 14나노 D램 개발 biz.chosun.com 요약) 11.09 3년만에 LPDDR 5X 세계 최초 개발 = 14nm 공정, AI + 5G 등 첨단 산업에 최적화 = 모바일 D램 중 가장 빠른(8.5 Gbps)(이전에 비해 1.3배↑) = 소비전력 20% ↓ 이번을 계기로 모바일 시장을 넘어 오토모티브 시장에 고성능 저전력 메모리 수요 창출 목표

'EUV 끝판왕' ASML, 로드맵 공개…"2023년 상반기 신제품 인도" [내부링크]

https://www.theguru.co.kr/news/article.html?no=27107 'EUV 끝판왕' ASML, 로드맵 공개…"2023년 상반기 신제품 인도" [더구루=오소영 기자] 네덜란드 ASML이 2023년 상반기부터 미세 공정 구현에 활용되는 차세대 극자외선(EUV) 노광장비를 고객사에 인도한다. 시간당 웨이퍼 처리량이 200장 이상인 장비도 개발하며 EUV 노광장비 시장에서 독점 지위를 공고히 한다. 퇸 반 고흐(Teun van Gogh) ASML EXE 제품 담당은 지난달 9월 30일(현지시간) 미국 www.theguru.co.kr 요약) ASML = 23년 상반기 0.55 High NA EUV 장비 공급 예정 (0.33 → 0.55) -> 3nm이하 공정 개발 + W/f 처리량 ↑(200#/h) -> 현재 3nm 공정개발 위해 멀티패터닝 + EUV 사용해야함 => High NA로 EUV만 사용 = 당분간은 0.33NA 장비 공존 예정 -> 2nm 공정에도 활용

11.11~12 서울대 반도체 교육 - 반도체 메모리 소자의 이해와 응용 후기(1) [내부링크]

11월 초쯤이었나? 서울대에서 주관하는 반도체 교육을 신청했다. 보통 반도체 취준생들에게 "서울대"하면 공정실습을 생각할텐데 이것 말고도 심화교육으로 메모리에 관한 이론 교육도 있었다. 솔직하게 나도 서울대 공정실습을 하고싶었으나 추첨에서 계속 떨어지기도 하고 이미 공정실습을 한번 진행한적이 있어서 이번에 열린 [반도체 메모리 소자의 이해와 응용]을 신청했다. 서울대 반도체공동연구소 홈페이지 https://isrc.snu.ac.kr/ <--- 여기서 신청합시다. 신청을 하게되면 이렇게 메일이 오게된다. 메일에는 교육 내용 목차가 있는 포스터, 교육 안내, 식당 지도 3가지 파일이 있다. 커리큘럼 / 지도 위 사진이 그 파일인데, 보면 알겠지만 DRAM, NAND말고도 차세대 메모리까지 이론적으로 배울 수 있다. 적어도 우리학교, 우리 과에서는 배울수 없는 내용들이다! 그리고 밑에 교육비 입금 납부 계좌도 같이 써져있다. (일반 : 45만원, 학생 : 23만원) .....자그만치 23

반도체 전공정 ② - 1. Oxidation 공정 - SiO2 특성, 성장 Process, LOCOS & STI [내부링크]

반도체 전공정 중 가장 처음에 배우는 산화공정은 소자에 아주 유용하게 사용되고 있는 공정입니다. 웨이퍼에 Thermal 에너지와 산화제를 통해서 Si으로부터 SiO2를 만들어서 여러 방면에 사용하는데요. 이 SiO2는 1732로 녹는점이 아주 높고 결정적으로 계면적인 특성이 아주 우수하기 때문에 기존에 사용하던 GeO2을 밀어낸 계기가 됩니다. 그렇다면 SiO2는 어떤 점이 좋아서 사용하게 된 것일까요? "SiO2의 특성" . 선택적 제거가 쉽다. - 리소그래피나 HF물질로 선택적으로 제거가 쉽습니다. . 신뢰성이 뛰어나다. - Si 자체에서 생성하기때문에 다른 물질보다 성장성과 Adhesion이 뛰어납니다. - 또한 계면 defect도 다른 것에 비해 적어 전기적 특성에 대해 안정적입니다. 이는 dangling bond가 적어 Charge들이 Trap되지 않는다는 말과 같습니다. - 다른 물질을 엣칭 시 SiO2가 보호해주는 Surface Passivation 역할을 해줍니다. 이